Friedrich-Schiller-Universit¨ at Jena Physikalisch-Astronomische Fakult¨ at Light scattering of optical components at 193 nm and 13.5 nm Dissertation zur Erlangung des akademischen Grades doctor rerum naturalium (Dr. rer. nat.) vorgelegt dem Rat der Physikalisch-Astronomischen Fakult¨ at der Friedrich-Schiller-Universit¨ at Jena von Dipl.-Phys. Sven Schr¨ oder geboren am 30. Juli 1975 in Erfurt Gutachter 1. Prof. Dr. rer. unnermann nat. Andreas T¨ 2. Prof. Dr. rer. nat. H. Angus Macleod 3. Prof. Dr. rer. nat. Theo Tschudi Tag der letzten Rigorosumspr¨ ufung: 8. Juli 2008 Tag der ¨ offentlichen Verteidigung: 17. Juli 2008 Contents 1 Introduction 1 2 Definitions 4 2.1 Specularreflectanceandtransmittance . . . . . . . . . . . . . . . . . . 5 2.2 Lightscattering ............................... 5 2.2.1 Totalscattering ........................... 5 2.2.2 Angleresolvedscattering ...................... 5 2.2.3 Bulkscatteringcoefficient ..................... 6 2.3 Roughness .................................. 6 2.3.1 Rmsroughness ........................... 6 2.3.2 PowerSpectralDensityfunction.................. 6 3 Theoretical models of scattering and roughness 9 3.1 Specular reflectance and transmittance of imperfect interfaces . . . . . 9 3.2 Scatteringofsinglesurfaces ........................ 10 3.3 Scatteringofthinfilmcoatings ...................... 12 3.3.1 Theoriesforthevisiblespectralrange. . . . . . . . . . . . . . . 13 3.3.2 X-rayscatteringtheories ...................... 15 3.3.3 Harmonizationofthesolutions . . . . . . . . . . . . . . . . . . 15 3.4 Roughness and roughness evolution models . . . . . . . . . . . . . . . . 16 3.5 Volumescatteringofbulkmaterials .................... 20 4 Independent roughness measurement techniques 22 4.1 Opticalprofilometry ............................ 22 4.2 Electronmicroscopy ............................ 23 4.3 X-raymethods ............................... 24 4.4 Atomicforcemicroscopy .......................... 25 4.5 R´esum´e ................................... 26 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm 28 5.1 Instrumentationfor193nm ........................ 29 5.1.1 Vacuumsystem ........................... 30 5.1.2 Lightsource ............................. 31 5.1.3 Beampreparationsystem ..................... 31 5.1.4 TSset-up .............................. 32 5.1.5 ARSset-up ............................. 32 5.1.6 Detectionsystem .......................... 33 5.1.7 Operationandperformance .................... 34 5.2 Instrumentationfor13.5nm ........................ 36 5.2.1 Vacuumsystem .......................... 36 5.2.2 Lightsource ............................ 37 5.2.3 Beampreparationsystem ..................... 37 5.2.4 Spectralpurityfiltersystem .................... 38 5.2.5 ARSset-up ............................. 40 5.2.6 Detectionsystem .......................... 40 5.2.7 Operationandperformance .................... 40 5.3 Uncertaintybudget ............................. 41 6 Scattering of optical components at 193 nm and 13.5 nm 44 6.1 Coatings and bulk materials for applications at 193 nm . . . . . . . . . 44 6.1.1 ARSmodelingmethodology .................... 45 6.1.2 Fluoride HR coatings on CaF2: influence of substrate polish . . 53 6.1.3 Bulk scattering of synthetic fused silica . . . . . . . . . . . . . . 62 6.2 Coatingsforapplicationsat13.5nm. . . . . . . . . . . . . . . . . . . . 68 6.2.1 Roughness measurements of EUV mirrors using 193 nm scattering 69 6.2.2 Mo/Si mirrors on fused silica: influence of substrate polish . . . 74 6.2.3 Characterization of degradation effects of EUV mirrors . . . . . 83 7 Conclusions 87 Bibliography 90 Nomenclature ACF autocorrelation function AFM atomic force microscopy ARS angle resolved scattering CS Coblentz sphere DUV deep ultraviolet DWBA distorted-wave Born approximation EUV extreme ultraviolet GHS generalized Harvey-Shack theory HSFR high-spatial frequency roughness LGT linear growth theory MSFR mid-spatial frequency roughness PMT photomultiplier tube PSD power spectral density function PSI phase-shift interferometry RR Rayleigh-Rice theory SEM scanning electron microscopy TEM transmission electron microscopy TS total scattering VPT vector perturbation theory XRR X-ray reflectivity XRS X-ray diffuse scattering a bulk scattering coefficient ß dynamic scaling exponent T isothermal compressibility d optical layer thickness deviation  s detector solid angle . wavelength s (bandwidth limited) rms roughness 8 rms roughness c (lateral) correlation length TSb total backscattering TSf total forward scattering d thickness F focal length f spatial frequency H thickness of one multilayer period kB Boltzmann constant length of illuminated volume M number of layers N multilayer period n index of refraction p photoelastic coefficient Pi incident power R specular reflectance R0 specular reflectance of ideally smooth interface T specular transmittance Tf fictive temperature 1 Introduction The progress of optical technology towards ever shorter wavelengths is accompanied by drastically increasing demands on optical components. For optics at 193 nm in the DUV1 and 13.5 nm in the EUV2 spectral ranges, in particular light scattering from interface and bulk imperfections becomes crucially important [2] and must be quantified. On the other hand, scattered light carries information about its origins, which can be exploited to improve DUV and EUV optics. Unfortunately, there is a serious lack of appropriate measurement and analysis tools. This thesis is therefore dedicated to the development of instrumentation as well as of measurement and analysis techniques for the thorough investigation of light scattering at 193 nm and 13.5 nm. Semiconductor projection lithography is the major driving force for technological developments at these wavelengths. However, DUV radiation is also utilized for micromachining, micro surgery, and ophthalmology [3]; and EUV (or soft X-ray) radiation enables new methods in spectroscopy, interferometry, and astronomy [4]. The resolution of a lithographic reduction projection system can be expressed as [5]: W ~ /NA, where W is the minimum half pitch of the structures, . is the exposure wavelength, and NA is the numerical aperture. Consequently, the urgent demand for ever decreasing structure dimensions imposed by Moore’s law [6, 7] can be met by increasing NA or by reducing . The latter was the most convenient approach in the past. Meanwhile, DUV lithography at the ArF excimer wavelength of 193 nm has become the state-of-the-art technology. Further reductions in wavelength, however, require enormous effort. EUV lithography at 13.5 nm is the most promising candidate for next-generation lithography. Nevertheless, several critical problems have to be solved, not the least regarding optical components with high throughput and stability [8, 9]. Full implementation of EUV lithography is planned between 2013 and 2016 [10]. To bridge the gap until EUV lithography is ready for application, 193 nm lithography is being pushed to its ultimate limit using sophisticated imaging techniques in order to keep pace with Moore’s law [7]. Recently, a catadioptric immersion objective with NA = 1.35 was presented which enables W = 45 nm utilizing 193 nm radiation [11]. 1Deep ultraviolet spectral range, reaching from approximately 300 nm down to 190 nm. 2Extreme ultraviolet spectral range, between 5 nm and 40 nm [1]. 1 These radical developments at DUV and EUV wavelengths lead to extraordinary demands on optical components regarding low losses and high image quality. Optical scattering, on the one hand, withdraws power from the specular direction and reduces the throughput. On the other hand, scattered radiation may propagate through the optical system. In particular scattering within the field of view of an imaging element (near-angle scattering or flare) crucially influences contrast and resolution [12, 13, 14]. Since scattering constitutes a diffraction problem, it exhibits a strong wavelength dependence (~ -2 , ~ -4). Scattering becomes thus even more critical as the wavelengths of application shift from the visible to the deep or extreme UV spectral ranges. Surfaces, coatings, and materials suitable for DUV or EUV optics are generally much more difficult to process and to handle than their counterparts for longer wavelengths and can hardly be optimized with respect to all relevant parameters at the same time [15]. Therefore, thorough investigations are required for target-oriented optimization. Yet there is a lack of detailed information about the scattering of optical components at 193 nm and 13.5 nm and the fundamental scattering mechanisms. Although interface roughness has widely become identified as a crucial limiting factor for the performance of DUV coatings [16, 17], the exact influence of the substrate quality, thin film roughness evolution, interface cross-correlation functions, and layer thickness deviations on the scattering properties has not yet been completely clarified. At 13.5 nm, fundamental theoretical and experimental work was done by one group [18, 14], but the investigations were performed from a viewpoint of X-ray scattering only and there are no links to the scattering of optical components at 193 nm. Investigating the scattering of DUV and EUV optical components requires a combination of appropriate measurement and analysis tools. Only few instruments have become available that are capable of sensitive scatter measurements at these wavelengths. For 193 nm, only instruments for total scatter measurements are reported [19, 17, 20, 21]. Yet angle resolved scatter measurements are required for detailed investigations of the origins of scattering. Scattering measurements at 13.5 nm have only been reported from synchrotron facilities in the US and Japan [18, 22]. Moreover, laboratory-size instruments are needed for investigations close to the manufacturing process of optical components in order to avoid extrinsic contamination and degradation effects from obscuring the intrinsic scattering. Scattering theories existing for the visible and X-ray spectral ranges generally require a large number of input parameters, which often inhibits the analysis of real coatings. A small set of descriptive parameters must be found which characterize the scattering properties and clearly reveal the fundamental mechanisms. Moreover, from a scientific point of view as well as for the optics manufacturer, it is interesting to compare the relevant effects in the two different spectral ranges. Therefore, a self-contained concept is needed that comprises the characterization of the 2 scattering of optical components at both wavelengths as well as the interpretation of the observations under consolidated aspects. This means, a comprehensive set of tools is required with the following properties: (i) compact, laboratory-size measurement systems for angle resolved and total scattering, (ii) operation at both wavelengths, 193 nm and 13.5 nm, (iii) implementation of confirmed theoretical models to analyze the observed scattering. To the best of the author’s knowledge, there is no laboratory so far to fulfill these demands. Consequently, the development of appropriate measurement and analysis tools for 193 nm and 13.5 nm was the main task of the work described in this thesis. The tools were then utilized to analyze the scattering properties of DUV and EUV optical components in order to understand the fundamental processes, to develop suitable scattering models, and to support further improvements in DUV and EUV optics. The thesis is organized as follows: After giving the most relevant definitions required for this thesis in Sec. 2, theories linking the structural properties and scattering of single surfaces, thin film coatings, and bulk materials are reviewed and discussed in Sec. 3 with respect to their applicability at 193 nm and 13.5 nm. Independent roughness measurement techniques are required as input for the theories to investigate the fundamental scattering mechanisms. Sec. 4 therefore provides a survey of optical, X-ray, electron, and scanning probe methods with regard to the information content relevant for the analysis of DUV and EUV scattering. In Sec. 5, the instruments developed for scatter measurements at 193 nm and 13.5 nm are presented. The description of each instrument includes a detailed review of the current state-of-the-art of such tools. In Sec. 6, the developed measurement and analysis tools are applied to investigate the scattering of optical components for 193 nm and 13.5 nm. For multilayer coatings, a modeling procedure is presented which enables describing the relevant structural properties using a small set of illustrative parameters. The impact of substrate roughness and intrinsic thin film roughness on the scattering properties of multilayer coatings is investigated in detail, and quite different results are obtained for DUV and EUV coatings. Furthermore, several methods are discussed to investigate the bulk scattering of synthetic fused silica at 193 nm by separating surface and bulk effects. 3 2 Definitions For the investigation of light scattering properties of optical components, well-defined quantities are required to provide comparable and reproducible measurement results. Moreover, such definitions are essential to compare experimental observations with theoretical predictions in order to investigate the fundamental scattering mechanisms. As will be discussed in Sec. 3, there is a strong link between interface roughness and scattering, and careful roughness analysis is necessary to compare theory and experiment. The most important quantities used in this work are defined in this section. The basic geometry for the definitions of specular and scattering quantities is shown in Fig. 2.1. The sample (1) is illuminated with a collimated beam (2) at an angle of incidence i. The power of the specularly reflected beam (3) leaving the sample at r = i depends on the specular reflectance defined in Sec. 2.1. Part of the incident light is scattered into off-specular directions expressed by the polar and azimuthal angles of scattering s and s (4). The definition of angle resolved scattering given in Sec.2.2 requires normalization to the solid angle  s covered by the detector. In contrast to interface scattering, scattering generated in the bulk of the sample is called bulk or volume scattering (5). ir qq z fs qsDWsyx12345 Figure 2.1: Basic geometry for the definitions of specular quantities and scattering. 1 sample, 2 -incident beam, 3 -specularly reflected beam, 4 -scattered beam, 5 -volume scattering, i -angle of incidence, r -angle of specular reflection, s -scattering angle, s -azimuthal angle of scattering,  s -detector solid angle. 4 2 Definitions 2.1 Specular reflectance and transmittance The specular reflectance R is defined as the specularly reflected power PR normalized to the incident power Pi [23]: PR R = . Pi The specular transmittance T is defined accordingly as the specularly transmitted power normalized to Pi [23]. 2.2 Light scattering 2.2.1 Total scattering Total backscattering TSb is defined as the backscattered power Ps normalized to Pi [24]: Pb TSb = , (2.1) Pi where the range of scattered radiation to be detected (range of acceptance angles) is s =2. ...85. and s =0. ...360. . Total forward scattering TSf is defined accordingly in the forward hemisphere. In contrast to total integrated scattering (TIS) [25], TS is defined for both backward and forward scattering of opaque and transparent samples. Moreover, TS directly represents the scatter loss of an optical component such that R + T + A + TSb + TSf should yield unity (energy balance), where A denotes the absorptance. 2.2.2 Angle resolved scattering Angle resolved scattering ARS is defined as the power Ps scattered into the solid angle  s, normalized to  s and Pi [26]: Ps(s,s) ARS(s,s)= . (2.2) Pi s  s is determined by the size of the detector aperture and its distance to the sample. ARS is equal to the cosine corrected BSDF (bidirectional scatter distribution function) [27, 28], where BSDF = ARS/ cos s. TS can be calculated from ARS by integrating the scattered power within the corresponding hemisphere. For the simple case of normal incidence and isotropic scattering 5 2 Definitions (ARS independent of s) TSb is calculated as: . 85° TSb =2p ARS (s) sin s ds . (2.3) 2° 2.2.3 Bulk scattering coefficient In lossy bulk materials, the decrease of the internal transmittance Tint along the path length d can be described by the Lambert-Beer law [29]: Tint ~ exp {- (µ + ) d} , (2.4) where µ denotes the absorption coefficient and a is the bulk scattering coefficient. The total loss coefficient (µ + ) can be determined via T measurements. However, this does not allow distinguishing between absorption and scatter losses. 2.3 Roughness Several works are dedicated to the statistical formulation of roughness properties in detail [27, 30, 31, 32]. The most important fundamentals required in this work are summarized in this section. 2.3.1 Rms roughness The rms roughness is defined as the standard deviation of the interface topography z(x, y) from the mean value z: 1 . L. L 21 [z (x, y) - z¯]2 dx dy 8 = lim . (2.5) L!8 L200 The rms roughness is a measure for the vertical distribution of surface heights. To describe also the lateral structural properties, a more general function is needed. 2.3.2 Power Spectral Density function The 2-dimensional power spectral density function PSD is defined as the squared modulus of the Fourier transform of the interface topography: . L. L z (x, y) e -j2(fxx+fyy)dx dy 2 . (2.6) 1 PSD2 (fx,fy) = lim L!8 L2 00 6 2 Definitions The PSD expresses the power of different roughness components in terms of the (lateral) surface spatial frequencies fx and fy. Surfaces generated by stochastic processes, such as grinding, polishing, etching, and thin film growth, often exhibit isotropic roughness corresponding to a PSD with a polar symmetry. The 2D-isotropic PSD, which is used throughout this work after verification of isotropy, is calculated by averaging the 2D PSD over all azimuthal directions: . 2 1 PSD (f) = PSD2 (f, ')d. (2.7) 2p 0 using the transformations: fy f = fx 2 + fy 2 ,. = arctan . (2.8) fx Every real profile or roughness measurement technique is confined to a certain spatial frequency range, which is limited, for instance, by the investigated surface area and the instrumental resolution. The (bandwidth limited) rms roughness can be calculated as integral of the PSD: . fmax 21 s =2f PSD (f)df, (2.9) fmin where the integration limits depend on the application at hand (or measurement technique used). In the limits fmin . 0 and fmax !8 it can be shown that s . 1. A review of the bandwidth limits of relevant roughness measurement techniques is given in Sec. 4. A theoretically equivalent description of surface roughness is provided by the surface autocorrelation function ACF, which for isotropic roughness is defined as [33]: . L 1 ACF() = lim z (x)z(x + )dx, (2.10) L!8 L 0 with the lag length . The correlation length c is defined as the lateral spacing at which the ACF drops to 1/e of its maximum value. ACF and PSD contain both vertical and lateral information about surface roughness (e.g. rms roughness and correlation length). They form a Fourier transform pair and are thus equivalent. However, only PSDs provide a direct representation of bandwidth limits. Use of PSDs enables a quantitative comparison and combination of different roughness measurement techniques, which will be shown to be essential for the interpretation of roughnessinduced light scattering. Furthermore, as will be shown in Sec. 3, ARS of rough surfaces is directly proportional to the PSD. Hence, the PSD is regarded as the most convenient description of the roughness properties of the surfaces investigated in this work. 7 2 Definitions In practice, surface topography is sampled with a finite number of points. The integral expressions given above are therefore replaced by discrete forms [34], sometimes introducing apodization functions to suppress numerical artifacts [35]. In the next section, theories will be presented which provide a direct link between the structural properties and the scattering of surfaces, thin film coatings, and bulk materials. 8 3 Theoretical models of scattering and roughness Appropriate theoretical models for the scattering from surfaces, thin film coatings, and bulk materials are required for two reasons: On the one hand, comparing theories and measurement results enables investigations of the origins of the observed scattering. On the other hand, theories allow predicting the scattering of optical components in arbitrary practical situations or prior to manufacturing. The most relevant scattering theories and roughness models existing in the literature are summarized in this section. 3.1 Specular reflectance and transmittance of imperfect interfaces The specular reflectance and transmittance of a plane surface depend on the optical properties of the media involved as well as on the conditions of illumination (angle of incidence, polarization). Calculations for perfectly smooth interfaces can be performed using the Fresnel equations, which can be found in practically every text book concerned with physical optics, e.g. in [36]. The specular properties of ideally smooth multilayer coatings are advantageously calculated via matrix formalisms [37, 38, 36]. Throughout this work, optical constants were obtained from the database implemented in the thin film software IMD [39], which contains data of [40] and [41]. Alternatively, data were provided directly by the manufacturers of the corresponding samples. Interface roughness reduces the specular reflectance expected for an ideally sharp and smooth boundary. Part of the specular power is redistributed into off-specular scattering. Roughness components with lateral dimensions below the wavelength of light (sub-wavelength roughness) induce a redistribution of specular power between the reflection and transmission directions [14, 42, 43]. For a single interface, the roughness-induced modification of the specular reflectance is usually calculated using a Debye-Waller factor [42, 44, 45]: 9 3 Theoretical models of scattering and roughness 2 4s R = R0 exp - cos i , (3.1) . where R0 denotes the reflectance of the ideal surface. The limitations of this estimation are discussed in connection with the scattering theories presented in the next section. N´evot and Croce described the effect of finite interface width by modified Fresnel amplitude coefficients. For the reflection coefficient r [46, 47]: r = r0 exp -2k0k12 , (3.2) where k0 and k1 are the perpendicular components (with respect to the interface) of the incident and refracted waves, respectively. Equation (3.2) was argued to be valid for c . . only. For multilayer coatings, the effect of interface roughness is typically included by using modified Fresnel amplitude coefficients at each interface as described in [14, 39]. 3.2 Scattering of single surfaces In the last century, theories were developed to describe the light scattering from rough and slightly rough surfaces. Scalar theories are based on Kirchhoff diffraction theory [45]. However, vector theory is required to accurately describe the angular distribution of scattering [48]. Vector perturbation theories (VPTs) were first developed by Rayleigh [49] and Rice [50]. Church introduced the approach into the optics literature [51]. The basic procedure of VPT is to solve Maxwell’s equations for the ideally smooth surface and to replace the interface roughness, which induces the perturbation of the specular field, by a plane carrying surface currents which act as sources of scattered plane waves [52]. The Rayleigh-Rice VPT result for the ARS of a slightly rough single surface is [27]: ARS (s)= 16   42 cos i cos 2 sQ PSD (f) . (3.3) . denotes the wavelength of light. Q is the optical factor and contains all information on the corresponding perfectly smooth surface such as the dielectric constant and the conditions of illumination and observation (angles of incidence and scattering, polarization states). Q can be interpreted as a generalized Fresnel reflectance of the scattering surface. Explicit formulas are given in [27]. 10 3 Theoretical models of scattering and roughness The link between spatial frequencies and scattering angles is given by the grating equation. For in-plane scattering (s = 0): |sin s - sin i| f = . (3.4) . Hence, considering the surface as superposition of gratings with different amplitudes, periods (~ 1/f), and phases, the scattering distribution is simply a composition of the corresponding first order diffracted intensities1 . Eq. (3.4) illustrates that the actual impact of a certain roughness component onto the scattering properties depends on both f and . In the EUV community, bandwidthlimited roughness values were defined with respect to their influence on the performance [54]. Mid-spatial frequency roughness (MSFR, 0.001 µm-1 1 µm-1) is associated with losses caused by diffuse scattering (1 µm-1 74 µm. Nevertheless, the relevant bandwidth limits depend on the actual wavelength of interest and the application at hand (e.g. field of view). Spatial frequencies corresponding to important scatter angles at 193 nm and 13.5 nm for normal incidence are shown in Tab. 3.1. s 193 nm, f (µm-1) 13.5 nm, f (µm-1) 0.1. 0.01 0.1 2. 0.2 2.6 85. 5.2 73.8 Table 3.1: Spatial frequencies corresponding to important scatter angles at 193 nm and 13.5 nm (i = 0). The Rayleigh-Rice (RR) result (Eq. (3.3)) provides a direct solution to the inverse scattering problem; PSD can be measured via ARS: 4 PSDRR (f) = ARS(s) . (3.5) 162 cos i cos2 sQ Roughness measurements via light scattering have the advantage of avoiding numerical problems arising if PSDs are calculated by using discrete Fourier transforms of sampled surface data. Recently, a new ”quasi-vectorized” scalar scattering theory, the generalized Harvey- Shack (GHS) theory, was proposed [55]. The GHS result suggests a correction to the Rayleigh-Rice result: 1Higher diffraction orders can be neglected as long as s . . [53]. 2A slightly different definition of the bandwidth limits for HSFR, 1 µm-1 0.5 indicates rapid roughening, and ß = 0 occurs for saturated roughness or epitaxial growth. The PSD of a thin film deposited on a rough substrate can be expressed as a superposition of the PSDs of the substrate PSDsub and the intrinsic PSD of the thin film PSDint [86, 91]: PSDcoating = PSDint + aPSDsub , (3.16) where a is a spatial-frequency dependent replication factor. Equation (3.16) enables extracting the intrinsic thin film roughness out of the total roughness spectrum using model-PSDs, as presented in [86]. So far, roughness modeling only allows a phenomenological description of measured PSDs. A completely different approach starts with kinetic continuum equations to model the deposition process itself [87, 92]. A special case, the linear growth theory (LGT) is based on the most simple, linear version of the more general Kardar-Parisi- Zhang equation [92]. LGT provides an analytical solution to the problem and predicts a PSD of the form [14, 93]: 1 - exp {-2. |2f|. d} PSDLGT =O . (3.17) 2. |2f|. The model parameters are directly related to physical quantities: O is the volume of a constituent of the film (atom, cluster, microcrystallite), . is a growth parameter, and . is the relaxation exponent which indicates the relevant relaxation mechanism during thin film growth. Although the analytic expressions in Eqs. (3.13) and (3.17) are different, Fig. 3.3 reveals that the corresponding graphs have similar shapes. Equating the PSDs for f . 0 and f !8 leads to: A = d, B =2p (2d)1/, and C = -1. Thus, ABC-and LGT-PSDs can be translated into each other. The linear growth theory was used in [14] to model the roughness properties of magnetron sputtered EUV coatings and is therefore expected to be valid also for the EUV coatings investigated in this work. However, as pointed out in [14], LGT is a linear and local description of the roughening process and can not be expected to hold for DUV coatings fabricated using low-energetic processes. 18 3 Theoretical models of scattering and roughness 0.010.1110100 10-2 10-1 100 101 102 103 104 105 106 107 PSD (nm4)f (µm-1) fractal ABC LGT Figure 3.3: Model-PSDs used in this work. PSD model parameters used for figure: PSDfractal: A =0.006 nm2 , . = 2; PSDABC: A = 1000 nm4 , B = 300 nm, C = 3; PSDLGT: O = 333nm3 , . = 86620 nm3 , . = 4, d = 30 nm. In the range of validity of LGT, an explicit expression for the PSD of multilayer coatings can be derived. For a coating containing M alternating L and H layers, the top-surface PSD after N periods (N = M/2) is [14]: 22 )N 1 - (aLaH . (L)2 (H) . 22 PSDN = 22 PSDint + aLPSDint +(aLaH )N PSDsub . (3.18) 1 - aLaH (L/H) PSDint are the intrinsic PSDs of L and H single layers described by Eq. (3.17). aL/H describes the replication of different roughness components and has the form of a lowpass filter: aL/H = exp -2L/H |2f|. dL/H . (3.19) At high spatial frequencies, the adatom mobility leads to local smoothing. At low spatial frequencies, the replication factors are approximately unity, which corresponds to perfect replication of long-range roughness components. The similarity of Eq. 3.18 and Eq. 3.16 is obvious. For a = 1, Eq. (3.18) can be approximated as: (L)(H) PSDN = N PSD+PSD+PSDsub . (3.20) int int The corresponding rms roughness for fmin = 0 and fmax< 1/c is (Eq. (2.9)): 2 2 (L)(H) + 2 N = Nint + Nint sub . (3.21) Hence, LGT predicts an evolution of the intrinsic thin film roughness according to ~ N0.5 . Unfortunately, there is no general roughness evolution model which also holds for multilayers exhibiting columnar growth. A new approach for arbitrary coatings is presented in Sec. 6.1.1. 19 3 Theoretical models of scattering and roughness 3.5 Volume scattering of bulk materials Aside from scattering induced by roughness of the interfaces between two media, scattering in the bulk of optical materials can be of crucial importance. This volume scattering can be separated into extrinsic scattering by inclusions and particulate defects and intrinsic scattering resulting from imperfections of the molecular or crystalline structure of the material. In particular for the scattering of synthetic fused silica6 at 193 nm, which is discussed in detail in this work, a convenient theoretical model is needed. The first investigations of light scattering in glasses were motivated by the need for extremely low-loss media for optical waveguides in the infrared. The intrinsic scattering was explained by thermally-induced density fluctuations in the melt which are ”frozen” during quenching of the material [94, 95, 96]. The structural disorder in the nonequilibrium, glassy state is therefore associated to a fictive temperature Tf . The theories predict a Rayleigh scattering distribution [97]. For in-plane scattering, the ARS of a finite scattering volume can be modeled as [98]: . . C , for s-polarized ARS = incident light . (3.22) : C cos s , for p-polarized The constant C is proportional to the Rayleigh bulk scattering coefficient . A theoretical expression for fused silica is given in [96]: 83 a = n 8 p 2 T kBTf , (3.23) 34 where p is the photoelastic coefficient, T the isothermal compressibility at Tf , and kB the Boltzmann constant. By integrating Eq. (3.22) in full space and equating a with the total scatter loss normalized to the length L of the illuminated volume, it is straightforward to show that: 8C a = . (3.24) 3L Thereby it is assumed that L . 1 (ARS constant along L). Based on data obtained for fused silica in the visible and near infrared spectral regions, theoretical values for the scatter loss of fused silica at 193 nm can be estimated: A lower limit for the attenuation7 caused by bulk scattering of 3.9 dB/km at 633 nm was 6amorphous SiO2 An attenuation a measured in dB/km is equivalent to an attenuation coefficient of a = ln (10a) x10-5 cm-1 . 20 3 Theoretical models of scattering and roughness suggested in [96]. This corresponds to a ˜ 9x10-6 cm-1 . Using Eq. (3.23), the bulk scattering coefficient of fused silica at 193 nm should be in the order of 1x10-3 cm-1 . Yet the Rayleigh scattering model implies the presumption that the scattering centers are small compared to . This is fulfilled for visible and near-infrared wavelengths if scattering is indeed caused by density fluctuations at molecular scale. But certainly, the shorter the wavelength, the more likely the theory will fail. This clearly holds for both surface and bulk scattering theories developed for the visible spectral range by assuming small (compared to the wavelength) scattering centers or roughness. Most of the theories presented in this section have never been verified at deep-or extreme ultraviolet wavelengths, mainly because of the lack of sensitive measurement tools and appropriate measurement and analysis techniques. This thesis is dedicated to overcome these limitations. However, in particular the modeling of surface scattering requires appropriate, independent roughness measurements. Therefore, different methods are surveyed in the next section. 21 4 Independent roughness measurement techniques The investigation of the causes of scattering of optical components relies on the comparison of modeled scattering and actual measurement results. Accurate modeling of roughness-induced scattering using the theories presented in the preceding section in turn requires the input of appropriate roughness data. Furthermore, additional roughness information is valuable to reduce the number of parameters for the scatter analysis of multilayer coatings or to separate surface from bulk effects [99]. For the measurement of the structural properties relevant for scattering of optical components at 193 nm and 13.5 nm, characterization techniques are required which fulfill the following demands: • Quantitative topographic information or direct determination of roughness • Spatial frequency range between 0.01 µm-1 and 200 µm-1 • Vertical resolution <0.1 nm The most prominent roughness measurement techniques used or considered in this thesis are briefly surveyed in this section with respect to their suitability for investigations of roughness-induced scattering at 193 nm and 13.5 nm. 4.1 Optical profilometry Optical profilometry characterizes a variety of optical techniques to measure surface topography. White light interferometry (WLI) combines interferometry and optical microscopy. Collimated light is split and focused on both the test surface and a reference surface. By scanning the sample surface along the optical axis, phase information is retrieved from the interference pattern. Analysis of the intensity and phase of the complex interference pattern provides topographic information about the test surface [100]. Phase-shift interferometry (PSI) utilizes monochromatic light. In the interference pattern, all points with the same intensity have a vertical distance of i/2, where i is an 22 4 Independent roughness measurement techniques integer. For the reconstruction of the surface topography, several images with slightly shifted phases are analyzed [100, 101]. PSI is restricted to rather smooth surfaces but typically offers higher vertical resolution than WLI. The PSI measurements in this thesis were performed using a white light interferometer Atos Micromap 512 operated in PSI mode at a wavelength of 532 nm. Optical profilometry is well suited for the measurement of mid-spatial frequency roughness with a vertical resolution better than 1 nm. Yet the high-spatial frequency limit is in the order of the inverse of the wavelength employed. Moreover, low-pass filtering effects in the measured PSD resulting from the transfer function of the optical system near the resolution limit have to be considered. Hence, optical profilometry (using visible light) can not provide all structural information relevant for light scattering at wavelengths shorter than 200 nm. 4.2 Electron microscopy Electron microscopy (EM) can be used to analyze the top-surface or a cross-section of a thin film coating based on the interaction of high-energetic electrons with the sample under investigation. A narrow, intense electron beam is focused to the sample. Incident electrons scattered back or transmitted as well as secondary electrons or xray fluorescence radiation emitted by the sample can be used for the analysis. EM provides a very high lateral resolution limited by the spot diameter of the electron beam and the volume of interaction. As a result of the short de Broglie wavelength of the electrons (determined by the acceleration voltage), the theoretical resolution is in the order of 0.01 nm. However, because of the complex electron optics required, which provide only small numerical apertures (˜ 0.01), the practical resolution is limited to a few nanometers [102]. In scanning electron microscopy (SEM), the beam is deflected to scan the sample surface and backscattered or secondary electrons are detected. The amount of detected electrons and their energy distribution is a function of the surface topography, local composition, crystal structure, and electronic properties of the sample. Unfortunately, SEM provides only semi-quantitative topographic data unless advanced calibration techniques are applied [103]. Moreover, it has to be considered that secondary electrons may originate from far below the surface (˜ 100 nm) and the local geometry of the surface affects the secondary electron yield [102]. While, conventional SEM requires a conducting surface to prevent surface charging, new instruments have been developed which also allow nonconducting surfaces to be investigated by utilizing lower acceleration voltages [104]. The SEM measurements presented in this thesis were performed with a field emission SEM Hitachi S-4800 UHR 23 4 Independent roughness measurement techniques at the Institute of Applied Physics of the Friedrich-Schiller-University Jena. Acceleration voltages between 1 kV and 15 kV were applied, and backscattered electrons were analyzed. The instrument exhibits a lateral resolution down to 1 nm. In transmission electron microscopy (TEM), the electron beam transmitted through a thin sample is analyzed. TEM reveals information about the structure, composition, and crystalline properties of the sample, as well as quasi-topographic information. Unfortunately, EM studies are usually destructive and require elaborate sample preparation techniques to generate clean cross sections. These cross-sections, however, are rather sensitive to the fracturing conditions. Moreover, cross-sectional analysis is limited to a single fracture plane, which might not be representative for the whole three dimensional interface structure in general. Finally, the high-energetic electrons may induce a change of the structure under investigation, as was observed in [105]. 4.3 X-ray methods X-ray reflectivity (XRR) measurements are performed by irradiating the sample surface with quasi-monochromatic x-ray radiation and measuring the specular reflectance as a function of the angle of incidence. Information about layer thicknesses, electron densities, and interface widths are obtained by utilizing X-ray scattering theories [75] to fit a theoretical model to the measured curve. Unfortunately, XRR like any specular technique does not distinguish between topological roughness and gradings of composition or density at an interface which both result in effective electron density gradients [106]. Hence, no information about the lateral distribution of roughness can be retrieved from XRR measurements. Moreover, the lower bandwidth limit sensitively depends on the detector aperture and, thus, on the actual set-up used. The roughness spectrum can only be obtained by measurement of x-ray diffuse scattering (XRS). In this case, the lower bandwidth limit is determined by the smallest detectable scatter angle and the wavelength. For typical x-ray wavelengths in the range of 0.1 nm, this means that measurements have to be performed extremely close (< 0.01) to the specular beam in order to measure the PSD around f ˜ 1 µm-1 . The upper bandwidth limit is determined by the power of the x-ray source and the sensitivity of the detector. In [107], PSDs were determined in the spatial frequency range between 1 µm-1 and 5 µm-1 by XRS at 0.154 nm (Cu K line). Recently, XRS measurements based on synchrotron radiation were reported [90]. Provided by the high-power light source, a substantially increased dynamic range could be achieved. As a result, the spatial frequency range covered extends up to 50 µm-1 and can be extended even further [108]. 24 4 Independent roughness measurement techniques 4.4 Atomic force microscopy Atomic force microscopy (AFM) belongs to the family of scanning microscopes. A sharp probe tip (diameter ˜ 10 nm) mounted on a cantilever is scanned over the sample surface. Inter-atomic forces between sample and tip lead to a deflection of the cantilever (contact mode) or a change of its elastic properties (noncontact mode, Tapping Mode [109]) which are detected. From this information, a 3D topographic map of the surface is retrieved with extremely high vertical resolution of <0.02 nm. In contrast to scanning tunneling microscopy [110], AFM allows also nonconductive surfaces to be investigated. The AFM measurements in this thesis were performed with an atomic force microscope VEECO D3100 operated in Tapping Mode. Single crystalline Si probes (10 nm nominal tip radius) and high-density carbon supersharp probes (tip radius 5 nm) were used. For each sample, several scans were performed at different positions and in different scan areas of 1x1 µm2, 10x10 µm2, and 50x50 µm2 . Each scan contained 512x512 data points. PSDs were calculated from the topographic data using Eqs. (2.6) and (2.7). A combination algorithm described detail in [32] was then applied to determine a single Master PSD for each sample. The lower bandwidth limit of AFM is theoretically given by the scan area. Yet the practical limit is determined by the bow of the piezo scanning tube and is fmin 0.1 µm-1 for the AFM utilized in this work [72]. The upper bandwidth limit depends on the tip v diameter r and can be estimated as [72, 111]: fmax =1/(2p ar), where a is the amplitude of a sine profile. For extremely smooth surfaces with a in the order of 0.1 nm and r ˜ 10 nm, the upper bandwidth limit is beyond 160 µm-1 (but is practically limited by instrumental noise). For a thin film coating with a ˜ 1 nm, however, an influence of tip effects can be expected also at scatter-relevant spatial frequencies. In addition, tip degradation and contamination during measurement results in a gradual decrease of resolution and additional measurement artifacts. During the last years, a lot of progress has been achieved regarding the control and suppression of noise and artifacts in AFM measurements and the interpretation of their impact on measured PSDs [112, 113, 114]. It was observed that tip degradation does not only lead to suppression of high-spatial frequency components. Moreover, low-spatial frequency components can be enhanced substantially [114]. Therefore, particular attention had to be paid on the condition of the tip and the suppression of measurement artifacts in order to achieve reliable results1 . Nevertheless, no standardized calibration procedures for AFM measurements are established yet, and the measurement process involves several mechanical and electronical steps with linear and nonlinear sources of uncertainty. Hence, the calibration of AFM measurements is still a topic of research [115]. 1For high-resolution measurements, a new tip is usually inserted for each scan. 25 4 Independent roughness measurement techniques Furthermore, the small surface areas covered by single AFM scans lead to a natural uncertainty in the roughness measurement as a result of statistical fluctuation effects. These statistical effects are connected to the question to which extent the sampled topography is representative for the entire (infinitely large) surface and can be reduced by averaging the results retrieved at different scan positions. The relative uncertainty of the calculated Master PSDs, which was estimated as the standard deviation of PSDs obtained for one sample at different positions, is typically below 15% [116]. 4.5 R´esum´e The spatial frequency ranges covered by the measurement techniques described above and those corresponding to light scattering at 193 nm and 13.5 nm are shown in Fig. 4.1. The shaded areas mark regions in which quantitative information is difficult to be obtained or only qualitative or semi-quantitative information can be retrieved. Figure 4.1 reveals that a combination of AFM measurements in different scan areas is best suited for the analysis of roughness components that lead to scatter losses at 193 nm and 13.5 nm. Information about roughness components at lower spatial frequencies corresponding to near-angle scattering at 193 nm (scatter angles below 2) and 13.5 nm (scatter angles below 0.1) can be obtained by optical profilometry. The measurement results in the different scales can be combined directly in spatial frequency space by using PSDs, as described in Sec. (4.4). It is obvious that optical, X-ray, and electron microscopy methods resolve lateral structures in the order of magnitude of the wavelength used. For the measurement of structures relevant for scattering at 193 nm or 13.5 nm, the resolution of optical profilometry is too small. On the other hand, X-ray-and electron-based techniques are well suited for the resolution of very high spatial frequencies, which, however, are not relevant for scattering at the comparatively much longer wavelengths of interest for the application. In addition to the techniques discussed in this section, scatter measurements themselves can be used for roughness measurements [27, 117] by exploiting the theories presented in Sec. (3.2). Yet accurate and absolute scatter measurements are mandatory for this purpose. Moreover, the validity of the theories must be checked at the wavelengths of application. 26 4 Independent roughness measurement techniques 1E-30.010.11101001000f (µm-1) AFM50x50 µm² 10x10 µm² 1x1 µm² ARS 193 nm0.1°2°90° ARS 13.5 nm0.1°2°90° XRS 0.154 nmEMWLI/PSI0.01°0.05°0.5° XRR 0.154 nm Figure 4.1: Spatial frequency regions relevant for scattering at 193 nm and 13.5 nm and bandwidth limits of different roughness measurement and inspection techniques. The shaded areas represent regions in which only qualitative structural information can be obtained. EM -electron microscopy, XRS/XRR X- ray scattering and reflectivity, WLI/PSI -white light and phase-shift interferometry, AFM -atomic force microscopy, ARS -angle resolved scattering. 27 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm The development of capable instrumentation for the measurement of optical scattering at 193 nm and 13.5 nm, which constitute the basis for investigations of the scattering properties of DUV and EUV optical components, was one major challenge for the work presented in this thesis. There are some essential demands on instrumentation for scattering measurements in general: • High spectral purity is required because scattering strongly depends on . (see Sec. 3). • High spatial purity (a clean core beam) and suppression of stray-light at the beam preparation optics is essential to prevent obstruction of the scattering of high-quality samples. • Low divergence of the incident beam is required to achieve a small beam diameter at both the sample position and the detector aperture (for ARS) or at the entrance and exit ports of the Coblentz sphere (for TS). The width of the specular beam at the detector aperture determines the near-angle limit for ARS measurements. • A high dynamic range is necessary to measure both specular and off-specular quantities, which are typically separated by several orders of magnitude. Thus, all of the requirements above have to be met by maintaining as much intensity in the incident beam as possible. The variety of components to be measured extends from supersmooth substrates with sub-nanometer roughness to multilayer systems with pronounced nanostructures. The sensitivity required can be estimated using the theoretical background presented in Sec. 3. A super-polished fused silica substrate with an rms roughness of 0.1 nm can be assumed to be a state-of-the-art smooth surface1 . At 193 nm, TSb ˜ 2x10-6 is expected for the 1The influence of bandwidth limits is neglected for the following rough estimations. 28 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm front surface according to Eq. (3.7) (R ˜ 4.8%, c . ). At 13.5 nm, the reflectance of an uncoated fused silica substrate is as low as 2x10-4 leading to TSb ˜ 8x10-6 . If the substrate is coated with an EUV mirror (R ˜ 70%), the scatter loss estimated in the single-surface approximation (assuming all interfaces to be identical with the substrate surface) is TSb ˜ 0.6%. Assuming ideally diffuse (Lambertian) scattering with ARS ~ cos s, as a rough estimation, ARS levels of about one third of the TSb values can be expected2 . For accurate measurements, the TS and ARS background scattering levels should be well below the measurement values3 . To meet these stringent demands, an elaborated beam preparation is necessary which constitutes a challenging task even at visible wavelengths [118]. The design of such instruments for shorter wavelengths is even more demanding because of the limitations of the usable optics as well as the necessity to operate in inert purge gas, such as nitrogen for 193 nm, or even in high-vacuum (193 nm, 13.5 nm). Hence, set-ups are required which combine a sophisticated optical system with the ability to tolerate harsh environmental conditions (pressure, vibrations, heating, etc.) In fact, instruments for scatter measurements at 193 nm or 13.5 nm share some crucial demands with corresponding lithographic tools. A variety of instruments have been developed for scattering measurements in the visible, near-infrared, and ultraviolet spectral ranges [2, 27, 118, 119, 120, 121, 122, 123] and even for the hard-x-ray range [107]. However, there are only few instruments capable of scatter measurements in the DUV and EUV spectral ranges. 5.1 Instrumentation for 193 nm Instruments for TS at 193 nm and 157 nm were presented in [17, 19, 20]. Background scattering levels between 10-3 and 10-5 have been reported. A more detailed review is given in [99]. A laser based device for ARS measurements at 157 nm with a dynamic range of 7 orders of magnitude was presented in [124]. A scatterometer for 160 nm was used in [125]. An instrument for ARS measurements between 58 nm and 120 nm was presented in [126]. Recently, a scatterometer for wavelengths between 190 nm and 840 nm was developed [127], which was designed for the measurement of diffraction efficiencies and their numerical evaluation rather than for the sensitive characterization of optical components. In [99] it was discussed that none of the instruments existing for 193 nm has a sensitivity sufficient for investigations of arbitrary state-of-the-art optical components; use of laser light sources with appropriate output powers was found to be one of the basic requirements. 2Often the performance of ARS measurement tools is given as a dynamic range (DR) of the instru ments. The effective DR is the difference between the maximum ARS value (1/ s) and the noise-equivalent ARS. 3A good signal to background (noise) ratio would be . 10. 29 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm The instrumentation for scatter measurements at 193 nm implemented in this work is based on an existing system for total scatter measurements at 157 nm and 193 nm [21]. Several modifications were introduced and a novel calibration procedure for 193 nm was developed in order to obtain absolute and accurate TS values. Furthermore, a unique set-up for angle resolved scatter measurements at 193 nm was implemented. A detailed description of the instrument is given in [99]. The main components are schematically shown in 5.1. 1 TS modeARS mode 2345678910CSDGBCMC Figure 5.1: Instrumentation for 193 nm. BC -beam preparation chamber, MC measurement chamber, 1 -excimer laser, 2 -attenuator, 3 -aperture stop, 4 -baffle, 5 -focusing mirror, 6 -pinhole, 7 -focusing mirror, 8 -beam splitter, 9 -attenuator, 10 -reference detector. CS -Coblentz sphere for TS, DG double- goniometer for ARS. Although, optical measurements at 193 nm can be performed in nitrogen atmosphere, Rayleigh scattering at the gas molecules leads to enhanced background scattering [27, 128]. A 10 cm long illuminated gas channel at atmospheric pressure causes a TS background scattering of approximately 1x10-4 [99]. Hence, measurements of rather high-scattering samples can be performed in nitrogen atmosphere, but operation in vacuum is mandatory for extremely low-scattering samples. The vacuum system allows for both modes of operation. 5.1.1 Vacuum system The vacuum system consists of two chambers, the beam preparation chamber (BC) and the measurement chamber (MC). The chambers can be flushed with nitrogen or 30 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm operated in vacuum. A rotary pump (Leybold TRIVAC D 65 B) with an adsorption filter is used as roughing pump. A 250 mm diameter turbo-molecular pump (TURBOVAC 1100 C) is used to achieve high-vacuum. A base pressure of about 5x10-6 mbar is achieved after 20 minutes pumping time4 . 5.1.2 Light source The light source (1) is an ArF* excimer laser (LPF 220i, Lambda Physik) [3]. The excimer laser supplies pulsed radiation at a wavelength of 193.4 nm with a pulse length of ~ 15 ns FWHM (full width at half maximum) and a pulse energy of approximately 200 mJ. The laser is operated at a repetition rate of 50 Hz. 5.1.3 Beam preparation system In order to suppress the generation of stray light of the illumination system, great care had been taken to choose particularly low-scattering optical components throughout the optical system. For example, superpolished Si wafers (rms roughness <0.1 nm) are used as plane deflecting mirrors. The intensity of the incident beam is adjusted at an attenuator (2) consisting of up to three metal coated fused silica filters. The beam diameter is adjusted using a variable aperture stop (3), and a baffle (4) blocks stray light generated at the aperture. The beam is focused by a spherical aluminum coated mirror (5) with a focal length of F = 300 mm on a pinhole (6) with a diameter of 100 µm. The pinhole is imaged by a second spherical mirror (7, F = 431 mm) into the measurement chamber. The spatial filter consisting of the two focusing mirrors and the pinhole turned out to be essential to achieve a narrow, clean beam required for high-sensitive scatter measurements. During operation, the laser exhibits short-time fluctuations of the pulse energy as well as a long-term drift of the output power as a result of laser gas degradation [129]. In addition, laser-induced formation of absorbing carbon layers at the beam preparation optics leads to a gradually decreasing incident power at the sample position. Therefore, a reference channel has been implemented to correct for theses effects. A superpolished CaF2 plate (8) is used as beam splitter. The reflected beam is attenuated (9) and enters a reference detector (10). Interchanging of the attenuators between pos. 9 and 2 allows for adjusting the intensity of the incident beam while keeping the reference signal nearly constant during this procedure. Provided by the beam preparation system, the sample is illuminated with a clean beam with a diameter of 1 mm and an energy density of 3 mJ/cm2 for both TS and ARS. 41 mbar'100 Pa 31 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm 5.1.4 TS set-up The set-up for TS measurements is schematically shown in Fig. 5.2 in the backscatter mode. The sample (1) is illuminated at normal incidence. A Coblentz sphere (CS), an aluminized glass hemisphere (?350 mm), is used to collect the scattered light. For TSb, the radiation scattered between s =2. and 85, according to ISO 13696, is focused by the CS to a CaF2 diffuser (2) mounted in front of the detector (3). The specularly reflected (4) and transmitted (5) beams are directed out of the CS and absorbed by beam dumps. CS123456 Figure 5.2: Instrumentation for 193 nm. TS set-up (backscatter mode). 1 -sample, 2 -diffuser, 3 -detector, 4 -reflected beam, 5 -transmitted beam, 6 -rotation axis. The sample can be scanned horizontally to perform linear TS scans or two-dimensional TS mappings. The scans can then be analyzed, for instance to determine an average TS value for the sample after applying a data reduction algorithm according to the international standard [24]. For TSf measurements, the CS together with the sample positioning and detector systems is rotated about a horizontal axis (6) through the sample surface to switch to forward scatter mode. This configuration ensures that all beam parameters (i.e. intensity profile, divergence, relative position sample-detector) and thus the transfer function of the system are maintained when switching between the two modes. 5.1.5 ARS set-up For ARS measurements, a precision double-goniometer module is inserted into the measurement chamber. A top-view of the set-up is shown in Fig. 5.3. Angles of incidence and scattering can be adjusted between 0. and 360. in 0.01. steps. The sample (1) is mounted onto the inner goniometer arm (2). The incident beam is inclined to the goniometer rotation plane by an angle of 0.5. to permit measurements 32 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm 1547823 DW s q s q i6 Figure 5.3: Instrumentation for 193 nm. ARS set-up. 1 -sample, 2 -inner goniometer arm, 3 -outer goniometer arm, 4 -aperture, 5 -focusing lens, 6 -spectral pu rity filter, 7 -field stop, 8 -detector. within the entire scattering plane including the specularly reflected and transmitted beams by preventing masking of the incident beam. As a result of the four nonnormal reflections between the source and the sample, the incident beam is linearly polarized with a stronger p-polarized (70%) component, which has to be considered for comparisons of measurement and simulation results if no polarizer is used. Alternatively, the polarization states of the incident beam and the polarization sensitivity of the detector can be adjusted by utilizing MgF2 Rochon polarizers. The detector is located at the outer goniometer arm (3). A variable aperture stop (4) enables detector solid angles  s between 1x10-6 sr and 1x10-4 sr to be adjusted in order to optimize the system for near-angle scatter measurements (small  s) or for maximum sensitivity (large  s). A lens (5) focuses the scattered light to the detector. A spectral purity filter (6) consisting of four dielectric narrow-band mirrors for 193 nm deposited on absorbing black glass can be used to suppress fluorescent light of the sample. The field stop (7) limits the field of view of the detector (8) to a diameter of 7 mm at the sample position. This well-defined field of view is essential to quantify the volume scattering of bulk materials from ARS measurements. 5.1.6 Detection system Side-on photomultiplier tubes (PMTs) Hamamatsu type R1220 and R1259 are used as detectors, where the latter is insensitive to radiation at wavelengths >200 nm but also less sensitive at 193 nm. Gated pulse integration (Le Croy Waverunner-2 LT 264 digital oscilloscope) is used for noise suppression. In order to increase the dynamic range of the system, both the attenuation and the dynode gain voltage can be adjusted during measurements. This, however, results in a nearly exponential response function which was determined experimentally. 33 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm 5.1.7 Operation and performance Appropriate calibration of the TS and ARS measurements is essential in order to achieve reliable, reproducible, and comparable results. For example, only use of ARS (rather than scattered intensity) permits the total scatter loss to be calculated by numerical integration of the scattering distribution. Calibration of TS or ARS measurements is usually performed by comparison of the scattering signals of the samples under investigation with the corresponding signals of diffuse scattering standards with known (certified) scattering properties [24, 25, 28]. Unfortunately, there are no such standard scatterers for wavelengths below 200 nm. Although ARS measurements can also be calibrated by measuring the relevant quantities according to Eq. (2.2) directly, this usually requires the measurement of signals that are separated by several orders of magnitude. Moreover, calibration of TS measurements by direct measurement of the incident power as performed in [20] does not take into account the transfer function of the Coblentz sphere [99, 130]. For these reasons, new calibration procedures for 193 nm were developed [99]. The procedures utilize CaF2 diffuser disks as pseudo-standards assuming that the sum of all specular and diffuse quantities must be unity if bulk absorption and fluorescence effects can be neglected. For TS, the calibration factor is determined by postulating that for the CaF2 diffuser T + R + TSb + TSf ˜ 1. For ARS, the integrated scattered power of the diffuser in the entire hemisphere should yield unity. This allows a calibrated ARS curve of the diffuser to be determined which can be used as reference curve for the calibration of all measurements. This method yields results which are consistent with the calibration by direct measurement of the incident power and the detector solid angle but avoids some critical issues of the latter technique [99]. TS background scattering levels and ARS instrument signatures, both measured using an empty sample holder, are shown in Fig. 5.4 for operation in nitrogen atmosphere and in high-vacuum. In addition, TS and ARS measurement results of a superpolished Si wafer (rms roughness 0.08 nm determined by AFM in a 1x1 µm2 scan area) are shown for comparison5 . The diagrams reveal that in case of operation in nitrogen atmosphere Rayleigh scattering at the gas molecules limits the sensitivity of the instrument. Although this is sufficient for the investigation of samples with TS levels >10-4, operation in vacuum is mandatory for low-scattering samples such as superpolished surfaces. In this case, the background scattering is limited by electronic noise and residual stray light in the measurement chamber. Nevertheless, TS background scatter levels <10-6 and ARS 5Throughout this work, both ARS and TS curves are shown as solid lines. This representation is justified by appropriate selection of the measurement parameters for ARS (detector solid angle and angular resolution) and TS (spot diameter and lateral resolution) such that the information of adjacent data points overlap. 34 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm -4-2024 10-7 10-6 10-5 10-4 10-3 10-2 10-1 100 TSscan (mm) background scattering / TSb vacuum/N2 / TSf vacuum/N2 TSb Si wafer (vacuum) -90-4504590135180225270 10-8 10-6 10-4 10-2 100 102 104 106 instrument signature N2 vacuum Si waferARS (sr-1)s ( ° ) Figure 5.4: Instrumentation for 193 nm. Detection limits for operation in N2 atmosphere (1 bar) and vacuum (~ 10-6 mbar). Left: TS background scattering levels. Right: ARS instrument signature. instrument signatures <10-6, which corresponds to a dynamic range of more than 12 orders of magnitude, are achieved. Photographs of the vacuum chambers, the Coblentz sphere, and the double-goniometer are shown in Fig. 5.5. The size of the instrument (without the laser) is about 2.0x1.8x1.0 m3 . Figure 5.5: Instrumentation for 193 nm. Photographs showing the vacuum chambers (right), the Coblentz-sphere (upper left) for TS, and the double goniometer (lower left) for ARS measurements. 35 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm 5.2 Instrumentation for 13.5 nm So far, scattering measurements at 13.5 nm have only been reported from synchrotronfacilities in the US [13] and Japan [22, 131]. As far as can be judged from the few experimental data available, even though the instruments allow reflectance and angle resolved scatter measurements at arbitrary angles of incidence with dynamic ranges of up to 7 orders of magnitude (provided by the high brightness of synchrotron radiation), there seem to be difficulties in measuring near-angle scattering below 4. or at quasi-normal incidence. Furthermore, stand-alone instruments are required for characterization close to manufacturing of optical components to prevent extrinsic contamination and degradation effects from interfering with the intrinsic scattering properties. Several laboratory-based instruments for the measurement of EUV reflectance were developed recently [132, 133, 134]. However, these instruments can not provide the beam properties and dynamic ranges required for scatter measurements of high-quality samples. A novel instrument for the measurement of EUV reflectance and scattering at 13.5 nm has been developed in the frame of this work. It represents the first laboratory-size, synchrotron-independent system capable of both reflectance and scatter measurements at 13.5 nm. The instrument first mentioned in [135] and [136] is briefly presented in this section. The set-up is schematically shown in Fig. 5.6. In contrast to measurements at 193 nm, operation in high-vacuum is mandatory for measurements at 13.5 nm. The absorption coefficient [137] of EUV radiation in air (at atmospheric pressure) calculated using the data given in [40] is ˜ 70 cm-1 . This corresponds to a penetration depth of < 200 µm. Hence, pressures below 10-3 mbar are required6 to reduce absorption losses to 1% at a beam path length of ~ 1 m. 5.2.1 Vacuum system The vacuum system consists of three chambers, the source chamber (SC), the beam preparation chamber (BC), and the measurement chamber (MC). The EUV source (1) is attached to the SC which contains the collector optics. A 2 mm diameter hole (2) between the SC and BC enables differential pumping, since the achievable base pressure in the SC is limited by the gas flow out of the light source. An oil-free piston pump attached to the BC and the MC is used as roughing pump. A 250 mm diameter turbo molecular pump (TURBOVAC 1100 C) is attached to the BC to achieve high-vacuum. A base pressure of about 5x10-6 mbar is achieved after 15 minutes pumping time. 6The absorption coefficient decreases linearly with the pressure. 36 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm 23147M1M2M386SCBCMCM4M55 q s qr q i Figure 5.6: Instrumentation for 13.5 nm. SC -source chamber, BC -beam preparation chamber, MC -measurement chamber, M1,..,M5 -mirrors, 1 -EUV lamp, 2 hole, 3 -Zr filter, 4 -pinhole, 5 -reference detector, 6 -sample, 7 -doublegoniometer, 8 -detector. 5.2.2 Light source An EUV lamp, which is based on a Xe-gas discharge plasma (hollow-cathode Z-pinch plasma geometry) [138], is used as light source. The EUV lamp generates about 1014 photons with each pulse into 2p sr within the EUV band of 13.5 nm ±2%. This corresponds to approximately 4 mJ in-band power per pulse. The pulse length is 100 ns, and the source is operated at a repetition rate of 50 Hz. In addition to the EUV radiation, out-of-band radiation ranging from a few nanometers up to the visible spectral range as well as debris, a stream of high-energetic particles (mainly sputtered electrode material and Xe ions), is emitted [68, 139]. Thus, the properties of the EUV source substantially differ from laser light sources, which represented a challenging task for the design of the measurement system. 5.2.3 Beam preparation system A Zr foil transmission filter (3) with a thickness of 0.2 µm is utilized to suppress outof- band radiation and to protect the collector optics from source debris. Throughout the optical system, Mo/Si multilayer mirrors deposited on superpolished Si wafers (plane mirrors) and fused silica substrates (curved mirrors) are used. The mirrors were designed for highest reflectance at 13.5 nm at their respective angles of application. The collector optics consists of a focusing mirror (M1, ?50 mm, F = 200 mm) followed by two plane deflecting mirrors (M2, M3). An intermediate focus is formed between the SC and the BC (2). The beam entering the BC is focused by a spherical mirror (M4, ?50 mm, F = 100 mm) to a pinhole. The pinhole is imaged by a spherical mirror (M5, ?50 mm, F = 200 mm) 37 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm onto the detector in the MC. Pinhole diameters between 0.1 mm and 0.5 mm are used depending on the application; the size being a trade-off between high incident power and a small beam diameter at the detector aperture. Radiation scattered off the pinhole is exploited to measure a reference signal at the reference detector (6). The illumination spot at the sample position has a diameter of approximately 1 mm (depending on the pinhole diameter). The polarization of the incident beam, which has to be considered for the interpretation of the measured data, is nearly unpolarized (65% s-polarized) as calculated by multiplying the theoretical reflectance curves of the beam preparation mirrors. 5.2.4 Spectral purity filter system Spectral filtering of the broad-band radiation emitted by the EUV lamp is essential for measurements at 13.5 nm. However, this constitutes a challenging task. The incident spectrum should have a spectral bandwidth below 0.5 nm, which is the bandwidth of a typical EUV mirror, with as much power around 13.5 nm as possible. At the same time, out-of-band-radiation has to be suppressed by at least 3 orders of magnitude. Neither single transmissive filters nor diffraction gratings can fulfill these stringent demands. Yet this problem was solved along with the design of the beam preparation system: Through combination of the Zr filter, which suppresses radiation at > 20 nm, and the 5 Mo/Si mirrors, which act as narrow-band reflection filters, a highly efficient EUV band pass filter is achieved as illustrated in Fig. 5.7. 020406080100120 10-30 10-20 10-10 10-2 10-1 100 Intensity (a.u.) (nm) T Zr (thickness 0.2 µm) R (Mo/Si)60 (5 reflections) total filter function Figure 5.7: Instrumentation for 13.5 nm. Calculated spectral filter performance of the beam preparation system. The condition for maximum (resonant) reflectance of an ideal multilayer structure in the EUV can be approximated by the Bragg equation [140]: m. =2H cos i, where m is the diffraction order and H is the thickness of one multilayer period7 . It is evident 7The full expression contains a correction factor which accurately takes into account the slight deviations of the indices of refraction from unity [140]. 38 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm that for a given H measuring the reflectance as a function of the angle of incidence (-2. measurement) is equivalent to scanning the wavelength. This fact was exploited to determine the effective spectrum registered by the detector. -2. measurements were performed on a narrow-band reflector especially designed for this purpose. The design is (3.5 nm Mo/31.5 nm Si)30 . Provided by the high Bragg diffraction order utilized (m = 4) and the small thickness ratio dMo/H ˜ 0.1, the spectral bandwidth of the coating and thus the spectral resolution of the procedure is as low as 0.1 nm FWHM. Simulations of R(i; ) were performed for discrete wavelengths between 10 nm and 80 nm using the software IMD [39]. The total reflectance curve was calculated as weighted average of the functions R(i; ) by assuming a spectral intensity distribution I(). A recursive optimization algorithm for I() was developed to fit the theoretical 2. curve to the measurement result (see Fig. 5.8 (left)). The resulting effective spectral distribution is shown in Fig. 5.8 (right). A strong peak at 13.5 nm and some residual secondary peaks can be observed, which correspond to electronic transitions of ten-fold ionized Xe [141] and could be expected from the emitted spectrum [142]. Nevertheless, the filtered spectrum can be considered quasi-monochromatic with a center wavelength of 13.5 nm and a bandwidth of ±0.2 nm FWHM. -1001020304050607080 0.0 0.1 0.2 0.3 0.4 0.5 0.6 Ri (°) meas. sim. = 13.5 nm sim. filtered spectrum 12.513.013.514.0 0.0 0.2 0.4 0.6 0.8 1.0 Intensity (a.u.) (nm) emitted after filtering Figure 5.8: Determination of the incident spectrum. Left: -2. measurement result compared to simulations using monochromatic light and the estimated spectrum. Right: Spectrum after filtering estimated by the simulation. The equivalence of R(i) and R() can generally be exploited to compensate the constraints of quasi-monochromatic illumination, as will be further discussed in Sec. 6.2.2. Moreover, because the wavelength remains constant during -2. measurements, dispersion effects of the dielectric properties are eliminated. This could be useful if samples are to be compared that are not accurately centered at the same wavelength. 39 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm 5.2.5 ARS set-up The measurements are based on a precision double goniometer. The samples (6) are mounted on a horizontal x-y-positioning unit (7) onto the inner goniometer arm. The outer goniometer arm carries the detector (8). Both goniometer arms can be rotated within 360. with a resolution of 0.01. . The incident beam is tilted about 0.9. with respect to the measurement plane to prevent any masking of the incident beam. This allows even near-angle scattering or specular reflectance to be measured at quasi-normal incidence. 5.2.6 Detection system Silicon photodiodes IRD AXUV100, uncoated or coated with an additional EUV band pass filter, are used as detectors [143]. The photodiodes are well-suited for this application because of their high quantum efficiency (˜ 25 electrons per incident photon at 13.5 nm), homogeneity, and radiation stability [143]. The detector signals are preprocessed using vacuum compatible pulse amplifiers and analyzed via gated pulse integration (Le Croy Waverunner 6200 digital oscilloscope) to suppress electronic noise. The reference pulse is used for triggering the oscilloscope to suppress the influence of time jitter of the plasma discharge and to correct the measurement signals for fluctuations of the output power of the source. 5.2.7 Operation and performance The calibration issue is even more critical for measurements at 13.5 nm since neither diffuse reflectance standards nor transparent materials exist that could be used as pseudo-standards. However, calibration can be performed by measuring the incident power and  s directly. Alternatively, ARS measurements can be calibrated by measuring the scattering of a reference sample. An EUV mirror which is permanently mounted in the double sample holder and was originally calibrated using the first mentioned method is utilized for this purpose. The instrument signature of the system is shown in Fig. 5.9. The incident beam corresponding to the peak at 180. is slightly broadened as a result of scattering from the beam preparation system itself. The sensitivity of the instrument is limited by electronic noise. The dynamic range exceeds 6 orders of magnitude. Though, as discussed above, ARS levels down to 10-3 sr-1 are expected for high-quality EUV mirrors. Hence, the effective angular range in which information about the scattering distribution of low-scattering samples can be retrieved will be limited. Nevertheless, the dynamic range achieved should be sufficient to investigate EUV mirrors in the most important angular regions around the specular direction. 40 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm -90-4504590135180225270 10-4 10-3 10-2 10-1 100 101 102 103 instrument signatureARS (sr-1)s (°) Figure 5.9: Instrumentation for 13.5 nm. Instrument signature for ARS measurements. Photographs of the whole system and the double goniometer are shown in Fig. 5.10. Figure 5.10: Instrumentation for 13.5 nm. Photographs showing the vacuum chambers (right) and the double-goniometer (left). 5.3 Uncertainty budget The measurement, data acquisition, and evaluation procedures are similar for the instruments at 193 nm and 13.5 nm. For this reason, a general treatment of the measurement uncertainties is briefly presented in this section. An uncalibrated measurement value U obtained from an ARS, TS, or R measurement is determined by normalizing the detector signal Vs to the signal Vref measured at the reference detector and applying correction factors for the amplification Camp and attenuation Catt: Vs U = CampCatt . (5.1) Vref 41 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm For low-level intensity measurements, noise from the detection system becomes crucially important. The dominating source of noise in photodiodes is dark current. In photomultipliers, the primary noise source is shot noise of the dark current arising from the quantum nature of the photons, the uncertainty in photon arrival rates, and electron emission probability. In addition, electromagnetic disturbances may couple into the detection system through cables and connectors. In particular the vacuum pumps and the light sources of the instruments used in this work are considerable sources of noise, and electromagnetic shielding is particularly important. All these noise effects act on Vs and Vref . The amplification factors of the PMTs in the DUV system were determined experimentally [99]. Sources of error are deviations from linearity as well as statistical fluctuations of the dynode gain (excess noise). The amplification factors of the EUV system were provided by the supplier. For both instruments, linearity was checked and the uncertainties of the amplification factors were determined experimentally. The attenuation factor is relevant for the DUV system only. Since it is strongly influenced by contamination and degradation effects, the factor is determined for each attenuator change. From Eq. (5.1), error propagation leads to a general expression for the relative uncer tainty of U: . U U 2 = . Vs Vs 2 + . Vref Vref 2 + . Camp Camp 2 + . Catt Catt 2 . (5.2) In order to determine ARS, TS, or R values, calibration of the measurement values is required. Calibration is performed by comparing U of the sample with the corresponding value of a calibration sample with known ARS, TS, or R resulting in a calibration factor Ccal. The resulting uncertainty of the final measurement value is 2 (U/U)2 + (Ccal/Ccal)2 . The actual uncertainty of a particular measurement can be reduced by maintaining as much parameters constant as possible (e.g. variable attenuation and amplification are not necessary for R measurements). The estimated uncertainties for different measurement regimes are summarized in Tab. 5.1 together with some additional comments. Although not of the main interest in this work, the uncertainties for measurements of the specular reflectance and transmittance at 193 nm and 13.5 nm are also given. The comparatively high relative uncertainties of the scattering measurements at 193 nm are a consequence of the high dynamic ranges required, because the main sources of uncertainty are the variable amplification and attenuation. Nevertheless, considering the sensitivity of the instruments, the achieved parameters lead to superior absolute measurement uncertainties for investigations of low-scattering samples. For example, 42 5 Experimental set-ups for scatter measurements at 193 nm and 13.5 nm Contributor ARS 193 nm TS 193 nm R, T 193 nm ARS 13.5 nm R, T 13.5 nm Vs, Vref 1% 1% 1% 1% 1% Camp 5% 5% -1% - Catt 10% ---4 - Ccal 5% 1 17%2 -5%1 0.15%5 Total 17% 19% 2% (0.3%3) 6% 2% (0.3%3) Table 5.1: Uncertainty budget for scatter and reflectance measurements at 193 nm and 13.5 nm. Relative uncertainties of contributing quantities and total relative measurement uncertainties of specified methods. *1 calibration by direct measurement of  s, *2 calibration using reference sample with TS deterv mined from ARS measurements, *3 ~ 1/K with K = 100 the number of independent measurement values, *4 currently, no attenuation necessary because of limited source intensity and detector sensitivity. *5 uncertainty of reflectance of reference sample measured by the PTB [144]. the set-up for TS at 193 nm allows a scatter loss of 10-6 (1 ppm) to be measured with an uncertainty of 2x10-7 . 43 6 Scattering of optical components at 193 nm and 13.5 nm In addition to the measurement equipment for scattering measurements at 193 nm and 13.5 nm presented in Sec. 5, new measurement and analysis techniques had to be developed to interpret the results and to investigate the causes of the observed scattering. For example, combination of scattering measurements with independent roughness measurements is necessary to analyze multilayer coatings, or separation of interface and bulk scattering is essential to investigate optical bulk materials. DUV and EUV optical components are nearly without exception covered with optical coatings. Therefore, investigations of coatings are of particular importance. First, a new methodology for the scatter analysis for arbitrary coatings is discussed in detail for DUV coatings. Afterwards, the procedure is utilized for investigations of DUV and EUV coatings. Yet only investigations of HR coatings are discussed in detail, for two reasons: Because all effects can be expected to be more pronounced for coatings containing a larger number of layers, investigations of HR coatings provide a magnified view onto the thin film related scattering effects. Furthermore, because EUV coatings are HR coatings in general, this allows for comparing the scattering properties of DUV and EUV coatings directly. Nevertheless, the methods and results can be transferred to other types of coatings as well. 6.1 Coatings and bulk materials for applications at 193 nm The high photon energy of 193 nm radiation (6.4 eV) demands bulk and coating materials with sufficiently high electronic band gaps in order to achieve tolerable absorption and to avoid radiation damage. This drastically reduces the number of suitable materials. Among oxides, only SiO2 and Al2O3 offer sufficiently high transparency at 193 nm. Metal fluorides are transparent down to 120 nm and are therefore preferred. However, fluoride films typically exhibit pronounced nanostructures with several nanometers roughness [86] giving rise to considerable scattering. Scatter losses of fluoride HR 44 6 Scattering of optical components at 193 nm and 13.5 nm coatings ranging from 0.2% up to 13% were reported by different groups [19, 21, 145]. Yet systematic investigations of scattering at 193 nm with respect to the underlying mechanisms could hardly be found at the beginning of this work with only two exceptions [16, 19]. Moreover, the general restriction to total scattering (a single number that characterizes the scatter loss) inhibited a detailed analysis. Angle resolved scattering should reveal more information about the fundamental scattering mechanisms. Unfortunately, in particular for multilayer coatings, the large number of parameters impedes the analysis. A methodology to analyze the ARS of multilayer coatings is presented in the following sections. The DUV coatings discussed in this thesis were provided by the Optical Coatings department of the Fraunhofer IOF, Jena and by Newport Corp., Irvine (CA). All films were deposited by thermal boat evaporation at elevated substrate temperatures (T ˜ 300C). Common substrate materials for 193 nm applications are CaF2 and synthetic fused silica. Compared to CaF2, synthetic fused silica is much harder, easier to shape and to polish, and noticeably less expensive (considering that the typical diameter of lithography optics is about 300 mm) [15]. Though, considerable absorption and scattering can be expected [146], and their accurate determination and separation are of great importance, e.g. for the optical design to compensate thermal lensing effects. Detailed analysis of the scattering properties of synthetic fused silica at 193 nm is presented at the end of this section. The samples were provided by Heraeus Quarzglas GmbH & Co. KG, Hanau. 6.1.1 ARS modeling methodology In Sec. 3.3, theories for the simulation of the ARS of multilayer coatings were presented which require knowledge of ~ M2 interface and cross-correlation PSDs. However, only the top-surface of a coating is generally accessible to direct topography measurements. Therefore, appropriate models for the roughness of the buried interfaces and their crosscorrelation properties are essential to simulate the scattering. The validity of such models has to be proven by comparison of the predicted scattering with the results of scattering measurements. In [16], simulations of the total scattering of fluoride quarter-wave stacks were compared to measurement results at 193 nm. The simple top-down model led to deviations of up to 74%. A rather good agreement was achieved by using the partial correlation model, but M measured PSDs had to be known. In [72], a small subset of interface PSDs were approximated by the top-surface PSDs of similar multilayer stacks interrupted after different periods. The missing intermediate PSDs were estimated by linear interpolation. Yet also this method requires fabrication of a set of samples to emulate the actually buried interfaces and is based on rather heuristic assumptions. A more 45 6 Scattering of optical components at 193 nm and 13.5 nm general approach which is consistent with existing physical models was developed in this work and will be illustrated for one DUV coating. First, the conventional procedure is discussed. Conventional scatter analysis In the frame of a study on stress-induced defects in fluoride multilayers for 193 nm deposited on fused silica, HR coatings for normal incidence were investigated [147]. One design, (L/H)20 with H=LaF3 and L=AlF3 is discussed in detail here to demonstrate the modeling procedures. The results of ARS measurements at 193 nm are shown in Fig. 6.1 (left). The scattering of the coating is substantially higher than the scattering of the uncoated substrate. The TSb calculated from the ARS data1 increases from (0.11 ± 0.02)% for the uncoated substrate to (2.8±0.5)% for the coating. Considering Eq. (3.9), this can be qualitatively explained by a two-fold effect: (i) increased reflectance (optical factors) and (ii) increased roughness (roughness factors). -90-60-300306090 10-5 10-4 10-3 10-2 10-1 100 meas. coating mod. coating meas. substrateARS (sr-1)s ( ° ) 0.1110100 10-3 100 103 106 N=20 substratePSD (nm4)f (µm-1) Figure 6.1: AlF3/LaF3 mirror for 193 nm. Left: ARS measured (”meas.”, blue) and modeled using the top-down model (”mod.”, red). Right: PSDs of the coating (blue) and the uncoated substrate (grey). To verify this assumption, top-surface roughness measurements of the coating and uncoated substrates were performed using AFM. Master PSDs, calculated by combining the AFM data of different scans for each sample, are shown in Fig. 6.1 (right). The substrate exhibits a fractal PSD while the PSD of the coating can be interpreted as a superposition of the fractal PSD and an ABC-PSD (see Sec. 3.4). The corresponding AFM images shown in Fig. 6.2 reveal that pronounced nanocrystallites and agglomerates of crystallites in the coating are the cause for this effect. The roughness in the 1The equivalence of TS values directly measured and calculated from ARS data was demonstrated in [148]. 46 6 Scattering of optical components at 193 nm and 13.5 nm 1x1 µm2 and 10x10 µm2 scan areas increases from ˜ 0.3 nm to ˜ 5 nm after 20 layer pairs. Figure 6.2: AlF3/LaF3 mirror for 193 nm. AFM images of uncoated fused silica sub strate (left) and HR coating (right). The roughness values in the insets are for the corresponding scans. The only structural information available so far is the top-surface roughness and the theoretical design. Consequently, ARS can only modeled using the top-down model by approximating all PSDs with the measured top-surface PSD. The result shown in Fig. 6.1 (left, red curve) is in rather poor agreement with the measured (blue) curve. The overestimated ARS at smaller scatter angles is presumably caused by the assumption that all interfaces are equal to the top-surface. The deviations at larger angles look similar to the effect of a mismatch of the incident and the resonance wavelengths of EUV coatings shown in [149]. A more realistic model that takes into account the roughness evolution and layer thickness deviations in the multilayer is presented in the next section. Derivation of ARS modeling procedure The linear growth theory provides explicit results for the roughness evolution of coatings in the stochastic limit but is not valid if columnar growth occurs. The more general scaling laws (Eq. (3.15)) describe the evolution of roughness as a function of film thickness but have been used for single layers only. Using the thickness of the multilayer D = N · H, where H is the geometrical thickness of one multilayer period, a generalized scaling approach is proposed: s ~ Dß . N = aN , where a is a parameter. Following this simple approach, different roughness scalings can be identified in the literature: LGT predicts ß =0.5 (see Eq. (3.21)), which represents the random deposition 47 6 Scattering of optical components at 193 nm and 13.5 nm limit. In [150], ß = 1 was observed in ZnS/ThF4 coatings for 633 nm. Multilayer stacks for 193 nm and 157 nm with N = 5 to 25 and L=AlF3 and various H materials were investigated in the frame of this work [151]. The evolution of roughness for different material combinations was determined from AFM in 10x10 µm² scan areas. The results are shown in Fig. 6.3 (left) together with best fits according to s ~ Nß with emphasis on the uppermost interfaces. ß ranging from 0.15 (nearly saturated roughness) to 1 (rapid roughening) are be observed. Although for several sample sets the simple scaling model does not hold for all N (which indicates transitions between different growth mechanisms), it accurately describes the roughness evolution down to 15 layer pairs below the top-surfaces of all coatings. 0510152025 0 1 2 3 4 SmF3 = 0.45 YbF3 = 1.0 GdF3 = 0.45 LaF3 = 0.25 YF3 = 0.15 (nm)N 0.1110100 10-1 101 103 105 107 85°2° f (µm-1) PSD (nm4) N=25 N=15 N=10 N=5 ABC mod. different ATS at 193 nm Figure 6.3: Roughness evolution in multilayer stacks with different H materials (L=AlF3 for all coatings) and different number of layer pairs. Left: Rms roughness (from AFM in 10x10 µm2 scan areas). Right: PSDs for GdF3 based coatings. The relevance of an interface for the overall scattering is proportional to the electric field intensity at that interface. For HR coatings, an effective information depth is defined as the depth in the coating at which the transmittance drops to 1/e2 ˜ 14%. For a free-standing HR coating [152]: . 2 2 1 - (nH /nL)N nH T =1 - R = , (6.1) 1+(nH /nL)N nH 2 where nH and nL are the indices of refraction of the H and L materials, respectively. Typical values of nH ˜ 1.67 and nL ˜ 1.42 for DUV coatings [153] lead to an information depth of 7 layer pairs. For EUV mirrors consisting of Mo (nH =0.9216) and Si (nL =0.99946)2, the estimated information depth is 19 layer pairs below the 2The nomenclature of H and L was chosen with respect to the absolute deviation of the indices of refraction to unity. 48 6 Scattering of optical components at 193 nm and 13.5 nm top-surface3 . Hence, the proposed scaling model accurately describes the roughness of all relevant interfaces of typical DUV and EUV coatings provided either by the limited penetration depth, in particular in HR stacks, or by the smaller number of layers used, for instance in AR coatings [154]. The scaling approach can now be applied to calculate the evolution of the interface PSDs as a function of N. The intrinsic thin film roughness (i.e. excluding the substrate roughness) of a multilayer after N periods is modeled as ABC-PSD (see Eq. 3.16). The parameters A, B, C, and a for the top-surface are obtained by fitting a model-PSD to a measured PSD. C, which indicates the dominant surface relaxation mechanism, is constant for all interfaces. Also the variation of B, corresponding to the lateral dimension of dominating surface features, is neglected because the alternating materials represent barriers for the granular growth [116, 151, 155]. This effect is illustrated in Fig. 6.3 (right) for GdF3-based stacks. Parameter A, which corresponds to the height of the PSD, is calculated as a function of N using the proposed scaling approach and Eq. (3.14): a2B2(C - 1) N2 AN ( )= , (6.2) 2p where ß is the only free parameter describing the roughness evolution of the multilayer. This procedure allows both linear (ß =0.5) and nonlinear growth to be described. Extending this model to include variations of B and C or to model the evolution as a function of the layer number is straightforward. In addition to the roughness evolution in multilayer coatings, optical layer thickness deviations from the theoretical design have to be considered. Such thickness deviations may arise from nonuniformity effects during deposition [156] (geometrical thickness deviations) or refractive index variations associated with porosity and packing density [80, 157]. In this thesis, these effects are approximated by introducing an average optical layer thickness deviation d of the realized layers from the ideal thickness. Based on ARS measurement and modeling, a procedure can be established for the estimation of the two parameters ß and : 1. Determination of the top-surface PSD using appropriate roughness measurement techniques. As discussed in Sec. 4, AFM is best-suited for this purpose. 2. Parametrization of the measured PSD by using model-PSDs and separation of substrate and intrinsic thin film roughness components. 3. Definition of appropriate start parameters, for instance ß = 0 and d = 0. 3In Eq. (6.1), absorption is neglected. Exact calculation of the electric field intensities including absorption by utilizing IMD yields an information depth of 15 layer pairs. 49 6 Scattering of optical components at 193 nm and 13.5 nm 4. Variation of ß and . 5. Estimation of the PSDs of the buried interfaces assuming a roughness evolution with parameter ß (Eq. (6.2)): For k =1, ..., N: PSDk(f; ), PSD2k = PSD2k-1 (subsequent interfaces of one period are assumed to be equal). 6. Calculation of the cross-correlation PSDs according to the partial correlation model: PSDij = PSDkk with k = min{i, j} (see Sec. 3.3). PM PM 7. Calculation of ARS: ARSmod(s; )= 1 Ci()Cj () PSDij (f; ), where 4 i=0 j=0 M =2N. 8. Comparison with measured ARS by determining the merit function . [86, 158]: K 1 X . .  2 = ln ARSmeas(s (k)) - ln ARSmod(s (k); , ) 2 , (6.3) K k=1 where K is the number of sampling points. 9. Minimization of . via refinement of ß and d . return to step 4. The final result is a model for the scatter-relevant structural properties of the coating with the new parameters ß and . Advanced scatter analysis using ARS modeling procedure The ARS modeling procedure can now be applied to analyze the scattering of the LaF3/AlF3 coating described at the beginning of this section. The results for different ß and d compared to the measured ARS curves are shown in Fig. 6.4. -90-60-300306090 10-5 10-4 10-3 10-2 10-1 100 meas. mod. = 0 mod. = 0.25 mod. = 0.5 mod. = 0.75 mod. = 1ARS (sr-1)s ( ° ) -90-60-300306090 10-5 10-4 10-3 10-2 10-1 100 meas. mod. = 0 mod. = 0.01 mod. = 0.02 mod. = 0.03 mod. = 0.04 mod. = 0.05ARS (sr-1)s ( ° ) Figure 6.4: ARS modeling procedure. Left: Effect of variation of . Right: Effect of variation of . 50 6 Scattering of optical components at 193 nm and 13.5 nm Fig. 6.4 (left) reveals that variation of ß essentially shifts the near-specular ARS in height. In X-ray terminology, this effect is referred to as ”resonant diffuse scattering” [149]: Scattering with |s| . 30. is within the Bragg-peak of the HR coating. As a result, contributions of different interfaces add up in phase, provided that the interface roughness is highly correlated, and ARS is proportional to the average PSD. The best fit is achieved for ß = 1. Considering the uncertainty of both the measured and the simulated ARS (through the PSD measured via AFM), the absolute uncertainty of the estimation of ß using the modeling procedure is 0.3. This is sufficient to distinguish between saturated roughness, stochastic roughening, and rapid roughening. As can be observed from Fig. 6.4 (right), variation of d leads to a shift of the angular position of the characteristic wings of the ARS, while the ARS at small angles is nearly constant if d . 0.05. The best fit is achieved for d =0.030 ± 0.005. The sensitivity of the procedure allows layer thickness deviations of as low as 0.3 nm for DUV coatings and 0.04 nm for EUV coatings to be detected. The effect of d on the scattering characteristics can be explained by enhanced electric field intensities in the coating as illustrated in Fig. 6.5 (calculations were performed with IMD). The enhanced fields lead to increased scattering through the optical factor in Eq. (3.9). For radiation propagating at |s| . 30. outside the multilayer resonance, the coating becomes transparent and the enhanced scattering from the innermost interfaces can penetrate through the multilayer. The transition between dominating influence of ß and d occurs at s ˜ 30. at the edge of the multilayer resonance4 . 02004006008001000 0 1 2 d= 0 d= 0.03 electricfieldintensity(a.u.)thickness (nm) 220 210 200 190 180 170 02004006008001000400 thickness (nm) l(nm) 0 1 2 Figure 6.5: Calculated standing wave electric field intensities for d = 0 and d =0.03. Vertical lines represent interfaces. Thickness is measured from the topsurface. For better comparability, d has been converted into a variation of the incident (not the design) wavelength: . = 193.4 nm/(1 + ). 4This means that the transition angle in general depends on the spectral bandwidth of the multilayer. 51 6 Scattering of optical components at 193 nm and 13.5 nm As shown in Tab. 6.1, aside from the scattering distribution, both ß and d crucially influence the scatter loss (For HR coatings, TSb can be identified with the total scatter loss since forward scattering can be neglected [99]) and limit the reflectance of the LaF3/AlF3 mirror at 193 nm. d TSb (%) R1 (%) 0 0 2.2 96.7 0.5 0 1.7 97.2 1 0 1.4 97.6 1 0.03 2.72 96.1 1 0.05 4.6 92.0 Table 6.1: Influence of ß and d on the TSb and R of the LaF3/AlF3 coating at 193 nm. *1 calculated as R = R0 - TSb, *2 This value has to be compared to the measurement result of 2.8%. The final results of the modeling procedure for ß = 1 and d =0.03 are presented in Fig. 6.6. The measured and some of the estimated PSDs of buried interfaces used for the ARS calculation are shown in Fig. 6.6 (right). The simulated ARS (Fig. 6.6 (left)) is in excellent agreement with the measured curve. This demonstrates the accuracy of the measurement and modeling procedures. This also proves that the scattering theory used (see Sec. 3.3.1) is valid at 193 nm. Moreover, the results reveal that the observed scattering is in fact caused by the roughness of the uppermost interfaces (down to ˜ 7 layer pairs below top-surface) and their cross-correlation properties but also depends on layer thickness deviations. -90-60-300306090 10-5 10-4 10-3 10-2 10-1 100 meas. mod. = 0.03ARS (sr-1)s ( ° ) 0.1110100 10-3 100 103 106meas. N=20 substratemod. N=20 N=15 N=10 N=5 N=5 int. PSD (nm4)f (µm-1) 85°2°TS at 193 nm Figure 6.6: ARS modeling procedure. Final results for ß = 1 and d =0.03. Left: Measured and modeled ARS curves. Right: Measured and estimated PSDs after N multilayer periods. In addition to the total PSDs, the intrinsic thin film component is shown exemplarily for N =5. The main aspects of the ARS modeling procedure presented in this section can be summarized as follows: 52 6 Scattering of optical components at 193 nm and 13.5 nm • The scattering properties of multilayer coatings are the result of a combination of interface roughness and thin film interference effects. • An ARS modeling procedure was presented with two descriptive parameters: Parameter ß describes the roughness evolution as a function of the multilayer period, and parameter d represents optical layer thickness deviations. • For HR coatings, ß mainly influences the scattering around the specular direction, and d leads to enhanced large-angle scattering. The ARS modeling also enables investigating the scattering properties of coatings for situations which are not accessible to direct measurement. The procedure comprises: (i) scatter measurement under convenient conditions, (ii) ARS modeling to determine the top-surface roughness, , and , (iii) simulation of the scattering under any condition of interest. This procedure for the first time allowed the determination of the scatter losses of HR coatings at 193 nm at oblique incidence [116]. The investigations revealed a strong anisotropy of the hemispherical scatter distribution. Moreover, the total scattering was found to be substantially higher for p-polarized than for s-polarized incident light as a result of a different penetration depth into the multilayer. A combination of ARS measurement and modeling was also utilized to investigate the scattering of AR coatings for 193 nm immersion lithography when immersed in water. The main result of the investigations discussed in detail in [116] was that the scatter loss of the immersed coating would be as low as (1.1 ± 0.2) × 10-3 . Moreover, it was found that the scattering was dominated by substrate roughness and intrinsic thin film roughness played a minor role. Significantly higher scattering can generally be expected for multilayer coatings because of the larger number of layers. The influence of the substrate polish on the scattering of fluoride HR coatings is investigated in detail in the next section. 6.1.2 Fluoride HR coatings on CaF2: influence of substrate polish The preparation of sufficiently smooth substrates for DUV applications requires enormous effort [15]. In order to analyze the actual impact of substrate roughness on the scattering of HR coatings at 193 nm, fluoride quarter-wave stacks were deposited on differently polished substrates, normal-polished (“n”, rms roughness <0.5 nm) and superpolished (“s”, rms roughness <0.2 nm) CaF2 (diameter 25 mm). In addition to the different substrates, an unconventional coating material combination was employed with the objective of achieving smoother interfaces and lower intrinsic scattering. In fluoride coatings for 193 nm, usually MgF2 or AlF3 is used as low-index 53 6 Scattering of optical components at 193 nm and 13.5 nm material [159]. The latter was shown to grow in an X-ray amorphous structure with lower surface roughness [153, 160] offering reduced scatter losses. LaF3 is used almost exclusively as high-index material [153], although recently coatings with H=GdF3 [161, 162] were reported. In search of alternative materials, a variety of metal fluorides were investigated in the past [163] and also during this work [151, 153]. AFM measurements of 30 nm thick single layers of LaF3 and YbF3 deposited on Si wafer substrates revealed promising nanostructural properties of YbF3 in 1x1 µm² scan areas (rms roughness 0.48 nm compared to 0.87 nm) [153]. Therefore, HR coatings for normal incidence at 193 nm consisting of H=YbF3 and L=AlF3 with N = 15 were deposited at 320C substrate temperature on the n-and spolished CaF2 substrates (diameter 25 mm). The substrates were supplied as “random oriented” (i.e. no specification of the crystal orientation). The ARS of the coatings and the uncoated substrates were measured at 193 nm. The results shown in Fig. 6.7 reveal substantially higher ARS of the coatings compared to both substrates. Although the TSb of the uncoated substrates calculated from the ARS data of (1.2 ± 0.2) × 10-5 (s) and (3.0 ± 0.5)x10-4 (n) differ by a factor of 30, the TSb of the coatings of (0.9 ± 0.2)% (s) and (1.0 ± 0.2)% (n) are almost identical. -90-60-300306090 10-6 10-5 10-4 10-3 10-2 10-1 100 s-polish HR coating uncoated substr. ARS (sr-1)s ( ° ) n-polish HR coating uncoated substr. Figure 6.7: YbF3/AlF3 coatings on differently polished substrates. ARS at 193 nm of coatings deposited on superpolished (s) and normal-polished (n) CaF2, and ARS of uncoated substrates. Nevertheless, the ARS measurements reveal enhanced scattering of the coating on the n-substrate for s . 40. . This effect could at first glance be misinterpreted as a result of the higher roughness of the n-substrate. On the other hand, the coating on the s-substrate exhibits enhanced scattering at s . 40, which is in contradiction to the results of the uncoated substrates. To investigate the actual origins of the different scattering effects, the ARS modeling procedure was applied. Representative AFM images of the coatings and the uncoated substrates are shown in 54 6 Scattering of optical components at 193 nm and 13.5 nm Fig. 6.8. A granular structure of the YbF3 top-layers can be observed in the 1x1 µm² scan areas. The coating on the s-substrate exhibits a slightly denser nanostructure resulting in a lower small-scale roughness. In contrast, a more pronounced long-range roughness (superstructure) of the coating deposited on the s-substrate can be observed. Figure 6.8: YbF3/AlF3 coatings on differently polished substrates. AFM images of coatings and uncoated substrates. The rms roughness values calculated from the image data are given in the insets. The observed morphologies indicate columnar growth. Considering the melting temperature of YbF3 (Tm = 1160 C [164]), a reduced substrate temperature of Ts/Tm ˜ 0.4 is calculated corresponding to zone II in the structure zone models. The reduced temperature is higher than that of a similarly fabricated coating containing H=LaF3 (Tm = 1493 C [165]). This could explain the lower roughness observed for the YbF3 single layer and the considerably lower TS values of the YbF3-based mirrors compared to the LaF3-based coating of the previous section. PSDs were calculated from the AFM data as described in Sec. 4.4. The scatter-relevant roughness calculated by numerical integration of the Master PSDs and the measured TSb values of the coatings are shown in Tab. 6.2. No reasonable correlation between the roughness before and after coating or the scatter losses can be observed. This demonstrates that knowledge of the top-surface roughness of multilayer coatings alone 55 6 Scattering of optical components at 193 nm and 13.5 nm does not permit conclusions about the scatter losses; a more detailed scatter analysis is necessary. s-polish n-polish s relevant for TS (nm) 2.24 (0.16)1 1.86 (0.43) TSb measured (%) (0.9 ± 0.2)% (1.0 ± 0.2)% Table 6.2: YbF3/AlF3 coatings on differently polished substrates. Measured rms roughness and total backscattering. *1 values in parenthesis are for the uncoated substrates. The PSDs were modeled utilizing a combination of two shifted ABC model-PSDs with -1 -1 roll-off-spatial frequencies near 1 µmand 30 µmto take into account the longrange and small-scale structures, respectively. Additional AFM measurements were performed on similar multilayer stacks with N = 5 and N = 10 to support the roughness evolution model. The parameters of the model-PSDs were fitted according to s ~ Nß to estimate the intermediate interface PSDs. The ARS modeling was then utilized to verify and refine this initial roughness evolution model. The final results of the ARS modeling compared to the measured curves are shown in Fig. 6.9. Both ß and d were found to be different for the coatings deposited on different substrates. -90-60-300306090 10-5 10-4 10-3 10-2 10-1 ARS (sr-1)s ( ° ) s meas. s mod. = 1.1, = 0.02 n meas. n mod. = 0.6, = 0.035 Figure 6.9: YbF3/AlF3 coatings on differently polished substrates. ARS at 193 nm and modeling results. The final PSD results are shown in Fig. 6.10. A transition from replication of substrate roughness to dominating intrinsic thin film roughness can be observed at f ˜ 0.2 µm-1 for both coatings. Consequently, near-angle scattering with s . 2 is dominated by replicated substrate roughness. However, provided by the dominant intrinsic thin film roughness at higher spatial frequencies and the low effective penetration depth of approximately 7 layer pairs, the scatter losses can be entirely attributed to thin film roughness efffects. 56 6 Scattering of optical components at 193 nm and 13.5 nm 0.1110100 10-2 10-1 100 101 102 103 104 105 106 PSD (nm4)f (m-1) substrate N=5 N=10 N=15 model N=5,10,15 model intermediate PSDs 0.1110100 10-2 10-1 100 101 102 103 104 105 106 PSD (nm4)f (m-1) substrate N=5 N=10 N=15 model N=5,..,15 model intermediate PSDs Figure 6.10: YbF3/AlF3 coatings on differently polished substrates -roughness evolution. PSDs calculated directly from AFM data (solid lines) and PSDs determined using the ARS modeling procedure (interrupted lines) with parameter . Left: s-polish, ß =1.1. Right: n-polish, ß =0.6. The coating deposited on the n-substrate exhibits stochastic roughening with ß = 0.6. In contrast, rapid roughening with ß =1.1 is observed for the coating on the s-substrate. This indicates that the enhanced scattering at smaller angles observed for this sample is caused by the pronounced superstructures which can be related to island growth on the uncoated substrates and transitions between different crystal structures [163]. A more detailed structural analysis based on large-angle XRR and TEM measurements, however, is beyond the scope of this thesis. The roughness analysis also reveals information which is not directly relevant for scattering at 193 nm: First, the slope of the measured PSDs at high spatial frequencies corresponds to C = . - 1 ˜ 3 (the deviation of the model-PSDs is an artifact). This indicates surface diffusion as the dominating relaxation process [14] for thermal boat evaporated fluoride coatings in agreement with the structure zone models. Second, the strength of the small-scale roughness component at f ˜ 35 µm-1 is slightly higher for the coating on the n-substrate (700 nm4 compared to 500 nm4). Since surface defects are preferred nucleation sites [166], this effect is attributed to the different substrate qualities. In addition to the observed , different layer thickness deviations of d ˜ 0.020 and d ˜ 0.035 for the coatings on the s-and on the n-substrates, respectively, are observed. These values in fact explain the different large-angle scattering. Nonuniformity effects during deposition can be excluded as cause of the different ; the coatings were deposited in the same run, and the substrates were placed side-by-side with the same radial distance on the rotating calotte. Therefore, it is concluded that the observed d are related to different porosities of the coatings in agreement with the different smallscale roughness of the samples. When exposed to air, the pores fill with water and hydrocarbons leading to an increased effective refractive index [80, 157, 167, 168]. The 57 6 Scattering of optical components at 193 nm and 13.5 nm laser irradiation during the scatter measurements removes hydrocarbons adsorbed near the surface (in-situ cleaning), but adsorbed water is inert to DUV radiation and may only be removed by long-term baking [169]. Adsorbed water (n =1.44 at 193 nm) leads to an increased effective index and, therewith, a larger optical thickness. The effect of d can also be observed as shifts of the center wavelength of the HR coatings away from the design wavelength 193 nm. It is straightforward to show that the center wavelength shift is c = c. Using the values d obtained from the scatter analysis, c ˜ 3 nm and c ˜ 7 nm are predicted for the coatings on the s-and the n-substrates, respectively. This is in agreement with the results of spectral reflectance measurements (performed with Perkin-Elmer spectro-photometer Lambda900) shown in Fig. 6.11. A more detailed review of porosity-induced wavelength shifts of optical coatings is given in [170]. 190195200205210215220 1 10 100 200 nm 197 nm R, T (%) (nm) s-polishn-polish R R T T 193.4 nm Figure 6.11: YbF3/AlF3 coatings on differently polished substrates. Spectral reflectance and transmittance. The green and red arrows indicate the center wavelengths as predicted from the ARS modeling results. To illustrate the effect of the different substrates on the roughness evolution inside the coatings, cross-sectional SEM measurements were performed. The results are shown in Fig. 6.12. The multilayer structure can be clearly perceived provided by the high material contrast between the H and L materials (higher atomic number Z of Yb (Z = 70) compared to Al (Z = 13) corresponding to a higher electron scattering cross-section). The SEM images reveal a higher degree of disorder in the coating on the n-substrate. This is correlated to higher small-scale roughness, porosity, and layer thickness deviations. The total geometrical thicknesses5 of the coatings on the s-and n-substrates, respectively, are 994 nm compared to 1013 nm. Assuming equal deposition rates, the 5measured assuming that the fracture planes are perpendicular to the sample surfaces and parallel to the measurement planes -Admittedly, such dimensional measurements from SEM data have to be treated with great care because the absolute values depend on the position and declination of the cross-sectional plane with respect to the virtual plane containing the reference scale. 58 6 Scattering of optical components at 193 nm and 13.5 nm Figure 6.12: YbF3/AlF3 coatings on differently polished substrates. SEM images. coating on the n-substrate exhibits a lower packing density (higher porosity) in agreement with the results of the scatter analysis. Yet the structures actually inducing scattering are only vaguely perceptible from the SEM images. The problem is that the relevant vertical roughness is in the order of a few nanometers while the lateral dimension of the structures corresponding to first-order diffraction at 193 nm is in the order of a micrometer. Thus, there is a fundamental clash of interests between high vertical resolution and sufficiently large field of view for the cross-sectional analysis of high-quality multilayers. One question is often asked: Why is a strong correlation of interface roughness observed in the scattering patterns of fluoride HR coatings? Does this mean that grains of different layers grow exactly upon each other? The answer is no. Fig. 6.12 reveals that structural defects caused by randomly enlarged grains are replicated and amplified by the subsequent layers as a result of shadowing effects which lead to columnar growth. These superstructures with f . 1/. rather than the granular structure itself (f . 1/) cause scattering at 193 nm. Furthermore, while the granular growth of adjacent layers is uncorrelated, the long-range roughness is replicated and enhanced through the multilayer structure which explains the strong cross-correlation observed by the scatter analysis. The observed relationships between the nanostructural properties and the scattering of thin film coatings are illustrated schematically in Fig. 6.13. Although small-scale roughness does not induce scattering directly, it influences the optical properties of the coating which in turn influence the scattering properties through the optical factors. High-energy deposition processes such as ion-assisted deposition [163, 171] or ion 59 6 Scattering of optical components at 193 nm and 13.5 nm ? ?nano granular growth crystallites porosity scattering ?substrate (roughness, contaminations, defects, crystal orientation, ...) deposition conditions (process,T/T, pressure, contaminations, ...) coating materials (T, crystallinity, ...) ? ? sm m optical layer thickness deviations ()d structural properties ? ? substrate replication shadowing effects agglomeration ? small-scale roughness f > 1/l long-range roughness f < 1/l effective refractive index adsorption roughness evolution ()b randomly enlarged grains Figure 6.13: Proposed model for the structure-property relationship for thin film coatings. Grains do not produce scattering at 193 nm directly, but structural defects can be amplified as a result of columnar growth. The resulting superstructures in turn give rise to scattering. beam sputter deposition [159] should result in smoother interfaces because of enhanced adatom mobilities. Though, the formation of superstructures is possibly not affected or even initiated [86, 172]. Furthermore, fluoride films deposited by highenergetic processes often exhibit stoichiometric mismatches leading to enhanced absorption [163, 171, 173]. However, the bivalent/trivalent nature of Yb (YbF3 exists as well as YbF2) [163], YbF3 films offers a self-organized compensation of fluorine deficiencies [174]. Thus, YbF3 may be an interesting material for future studies, but further investigations regarding the suppression of superstructures are necessary to achieve DUV coatings with both low absorption and low scattering. A non-trivial question for radiometric measurements is weather the sum of the specular quantities and all losses yields unity (energy balance). For this reason, the energy balance was checked for the two HR coatings by adding up the TSb, R, and T values at 193 nm. In addition, the absorptance A at 193 nm was measured at the Institute of Photonic Technology (IPHT), Jena by a laser-induced deflection technique [175, 176]. The results are summarized in Tab. 6.3. All contributions add up to unity within the uncertainty bars for both samples. This demonstrates the consistence of the different measurement techniques developed for 193 nm. Such a comprehensive verification of radiometric measurements at 193 nm has not been reported elsewhere so far. 60 6 Scattering of optical components at 193 nm and 13.5 nm HR on s-polish HR on n-polish R (%) 96.9±0.2 94.6±0.2 T (%) 1.6±0.2 3.2±0.2 A (%) 0.82±0.06 0.94±0.06 TSb (%) 0.9±0.2 1.0±0.2 total (%) 100.2±0.4 99.7±0.4 Table 6.3: YbF3/AlF3 coatings on differently polished substrates. Energy balance at 193 nm. The results also demonstrate that scattering and absorption are in the same order of magnitude. The slightly different absorptance of the coatings can also be explained by the enhanced fields and is therefore directly related to d as well. It should be noted, however, that the cause of the enhanced total loss L = TSb + A, different , can only be observed via ARS analysis. Up to this point, the ARS modeling procedure was utilized to analyze the scattering and to separate roughness-induced and optical effects on the scattering properties. This in turn enables predicting the scattering for the case of ideal layer thicknesses (d = 0). The results of this remodeling are shown in Fig. 6.14. TSb values of as low as 0.74% for the coating on the s-substrate and surprisingly 0.69% for the coating on the n-substrate (because of the lower long-range roughness) are predicted. These for fluoride HR coatings exceptionally low values are the result of superior intrinsic thin film roughness but do not depend on the substrate polish. -90-60-300306090 10-5 10-4 10-3 10-2 10-1 ARS (sr-1)s ( ° ) s meas. s mod. = 1.1, = 0 n meas. n mod. = 0.6, = 0 Figure 6.14: YbF3/AlF3 coatings on differently polished substrates. Remodeling of ARS for the case of ideal layer thicknesses. Considering the residual transmittance of the coatings, the reflectance could be further increased by increasing N. This will, however, be accompanied by higher roughness and enhanced scatter losses. Nevertheless, utilizing the material combination of YbF3 and AlF3 has the potential to enhance the reflectance up to about 98% by using N ˜ 20. 61 6 Scattering of optical components at 193 nm and 13.5 nm Moreover, from the viewpoint of scattering, it is not necessary to use superpolished substrates. The results of the investigations of the YbF3/AlF3 coatings for 193 nm presented in this section can be summarized as follows: • The scatter-relevant roughness properties are dominated by intrinsic thin film roughness effects, in particular by superstructures resulting from columnar growth with f . 1/. ˜ 5 µm-1 . • The substrate roughness does not influence the scattering of the HR coatings directly, but it crucially influences the growth properties of the films leading to different porosities. • Scatter losses of as low as 0.7% at 193 nm can be achieved if layer thickness errors can be suppressed. A reflectance of >98% could be accomplished by increasing the number of layer pairs to N ˜ 20, while it is not necessary to use superpolished substrates. Considering the results of investigations of other material combinations [151], these results can be regarded as representative for high-quality fluoride coatings deposited by thermal boat evaporation in general. 6.1.3 Bulk scattering of synthetic fused silica In transmissive optical components for 193 nm, in addition to roughness-induced scattering at interfaces, scattering in the bulk of the materials has to be taken into account. Investigations of bulk scattering of optical materials at 193 nm have a two-fold importance: On the one hand, bulk scattering itself leads to reduced throughput and straylight. On the other hand, knowledge of the bulk scattering coefficient allows absorption to be determined from total loss measurements (L = A +TS = 1 - R - T ). This is of particular interest, since existing direct methods to measure absorptance at 193 nm [176, 177, 178] sometimes lead to inconsistent results [179]. Critical challenges for bulk scattering measurements are, however, the separation of surface and bulk effects and the calibration of the scatter signals in order to obtain absolute results. A common substrate material for 193 nm is CaF2 because of its high transparency and optical isotropy. Bulk scattering coefficients at 193 nm of as low as 10-5 cm-1 were presented in [146]. This can be explained by the crystalline structure of the material6 . The residual scattering results from lattice defects and impurities [180, 181], which 6In an ideal crystal, all scatter centers radiate coherently in phase and, except for the specular directions, the total scattering vanishes. 62 6 Scattering of optical components at 193 nm and 13.5 nm have been considerably reduced during the last years [182]. Hence, volume scattering of high-quality CaF2 does not play a critical role at 193 nm. As stated before, synthetic fused silica has a variety of promising properties, but absorption and scattering are considerably higher than in CaF2 [146]. A variety of synthetic fused silica exists, which are manufactured by different process chains leading to different chemical and physical properties. Although extensively investigated in the near infrared spectral range in connection with the development of fiber optics, only little has been known about the scattering properties at shorter wavelengths. In [98], scatter measurements were performed at 442 nm and at 633 nm. A Rayleigh type scatter distribution was reported, but the expected -4 scaling could not be observed. A scattering coefficient of a = (1.5 ± 0.3)x10-3 cm-1 for ”high-purity fused silica” at 193 nm was reported in [183] without further investigations regarding its causes. Yet there is still a lack of information about the scattering properties of different fused silica, their dependence on the material properties, and the correlation to theoretical models. First, a reliable method for the absolute determination of a at 193 nm is required. Moreover, the results have to be analyzed with respect to theory to investigate the fundamental scattering mechanisms and to optimize the materials. The equipment developed for 193 nm enables sensitive scattering measurements. However, well-considered methodologies and careful analysis are needed to separate bulk and interface effects. A detailed description of the investigations presented in this section is given in [184]. Three types of fused silica were investigated. The base materials, denoted by A, B, and C, had been fabricated by three different manufacturing processes resulting in different amounts of hydroxyl. A detailed description of the materials is given in [184]. Considering the results obtained at longer wavelengths, a strong dependence of the bulk scattering properties on the fictive temperature could be expected. Therefore, from each base material, sample sets with different Tf were generated at Heraeus Quarzglas by annealing at the desired temperature and subsequent quenching in water mist. The fictive temperature and the OH content of each sample were determined by Raman spectroscopy (data provided by Heraeus Quarzglas). Tf was estimated by evaluation of the 606 cm-1 defect band (uncertainty 10C), which is related to the breathing mode of planar 3-fold Si-O rings [185]. The OH content was determined by measuring the strength of the 3695 cm-1 band (relative uncertainty 5%), which represents the O-H stretching [186]. Tab. 6.4 gives an overview of the results. As demonstrated in the preceding sections, ARS analysis, in addition to the scatter loss, provides more information about the scattering mechanisms. Therefore, ARS measurements were performed to investigate the nature of the volume scattering of fused silica at 193 nm. In order to separate surface from bulk effects, a special sample 63 6 Scattering of optical components at 193 nm and 13.5 nm Base material OH (ppm) 1 Sample Set Tf (C) A 1168 A1 A2 A3 992 1086 1173 B 276 B1 B2 B3 1038 1129 1211 C 26 C1 C2 C3 1057 1216 1282 Table 6.4: Bulk scattering of synthetic fused silica. Sample overview. *1 mean value of all corresponding sample sets. disk was designed and manufactured for this purpose. The disk material is equivalent to the material of sample set B1. Figure 6.15 (left) shows the sample geometry and the illumination conditions. The sample disk has a diameter of 200 mm. In order to avoid focusing effects of the specular beams, flat entrance (1) and exit (2) surfaces were prepared and mechanically polished. Only radiation emitted from the center of the sample (3) is in the field of view of the detector. Hence, the large sample diameter and the measurement set-up prevent surface scattering from disturbing the bulk scattering measurements. The cylindrical shape of the sample ensures that the detected scattered radiation is transmitted through the sample surface at normal incidence to avoid refraction and polarization effects. q s123 -90090180270 10-5 10-4 10-3 10-2 ARS (sr-1)s ( ° ) s-pol.p-pol. meas. meas. fit ~ fit ~ cos²(s) Figure 6.15: Bulk scattering of synthetic fused silica. ARS of disk sample. Left: Set-up. (1) entrance and (2) exit surfaces of the sample for the specular beams. (3) detected scattering volume defined by the field of view of the detector. Right: Measurement results and fits according to a Rayleigh scattering distribution. The results of the ARS measurements, performed with the incident light polarized parallel (p-pol.) and perpendicular (s-pol.) to the measurement plane, are shown in 64 6 Scattering of optical components at 193 nm and 13.5 nm Fig. 6.15 (right). Because the detected scattering volume varies with the direction of observation, the measured curves were corrected by a factor |sin s|. In the angular ranges around 0. and 180, the entrance and exit points of the incident beam are in the field of view of the detector. As a result, the ARS is dominated by surface scattering in these regions. In the off-specular directions, however, a Rayleigh scattering distribution can be observed. This is the first indication that the theory described in Sec. 3.5 is valid at 193 nm. Using Eq. (3.24) with the field of view of the detector being L = (0.7 ± 0.1) cm, the bulk scattering coefficient of the material is a = (1.14 ± 0.27)x10-3 cm-1 . It is interesting to note that only the constant C has to be determined to calculate a via ARS. Hence, for s-polarized incident light, a can theoretically be measured at any (fixed) angle provided that the scattering is of the Rayleigh type and interface scattering does not contribute to the measured signal. Unfortunately, the ARS method requires a rather complex sample geometry and its accuracy depends on the knowledge of L. In contrast, measurement of TS is a direct and fast method to determine the scatter loss. However, a different approach is required to separate surface from bulk effects. The total scattering of a sample is the sum of the actual bulk scattering TSbulk and roughness-and defect-induced surface and subsurface effects. TSbulk is a function of the sample thickness d and a which for a . 1 can be approximated by a linear relationship7: TSbulk(d)=1 - e - d ˜ d . Thus, plotting measured TS values against d should yield a linear function with slope . For this reason, rods with a diameter of 25 mm were manufactured from each material. A set of samples with thicknesses of 2 mm, 5 mm, 10 mm, 15 mm, and 20 mm was fabricated from each rod. The measurement methodology is illustrated in Fig. 6.16 (left). This technique eliminates surface and subsurface scattering effects. Admittedly, these contributions have to be constant throughout all samples with varying d. Therefore, the front and rear surfaces of the samples were superpolished to suppress surface scattering as far as possible. AFM inspection revealed a relevant roughness of (0.10±0.02) nm for all samples corresponding to a roughness-induced contribution to the TS signals below 10-5 . For each sample set, TSb and TSf measurements were performed as 2D mappings by scanning the samples over the entrance surfaces in 7x7 mm2 areas to check the 7The extinction arising from bulk absorption can be neglected in this procedure because the scattering is investigated directly rather than the internal transmittance. 65 6 Scattering of optical components at 193 nm and 13.5 nm sample homogeneity and to suppress the influence of local (surface) defects. From each mapping, an average TS value was determined. The total scattering TS = TSb + TSf was calculated and corrected to take into account radiation that leaves the sample through the sidewalls as described in [184]. In Fig. 6.16 (right), the resulting TS values are exemplarily shown for sample set A1. detectorCoblentzsphere 05101520 0.2 0.4 0.6 0.8 1.0 1.2 TS / 10-3d (mm) Figure 6.16: Bulk scattering of synthetic fused silica. Determination of a via TS. Left: Mesurement set-up. Right: TS versus sample thickness for sample set A1. The total scattering increases nearly linearly for d . 15 mm. For d . 15mm, a nonlinear decrease can be observed for all samples, which can be explained by the imaging properties of the Coblentz sphere: Only light scattered from a small volume located conjugate to the detector position is completely detected [21, 99, 187]. This causes the deviation from the linear relationship for thicker samples. Therefore, only the TS values for d = 10 mm were used for the linear regression to determine . The results for all sample sets are plotted in Fig. 6.17 (left) against Tf . The uncertainty values a contain the uncertainties of both the TS measurements and the linear -1 -1 regression. The scattering coefficients are between 0.6x10-3 cmand 1.7x10-3 cmdepending on the OH content and the fictive temperature. It is noteworthy that these values are in the same order of magnitude as typical bulk absorption coefficients of fused silica at 193 nm [188]. A linear relationship a ~ Tf within the uncertainty bars can be observed for each sample group with similar OH content. The deviations for two samples at Tf > 1200C could be an indication of a relaxation of longer correlated structural disorder at higher temperatures, because Raman spectroscopy is sensitive only to local disorder while the light scatter technique also includes such large-scale effects (although measurement errors can not be entirely excluded). Nevertheless, the correlation found between a and Tf below 1200C is in agreement with the theory presented in Sec. 3.5. It should be noted that the result for sample B1 determined by TS is in good agreement with the value of the disk sample (which has similar properties) obtained by ARS. This 66 6 Scattering of optical components at 193 nm and 13.5 nm 9501000105011001150120012501300 4.0x10-4 6.0x10-4 8.0x10-4 1.0x10-3 1.2x10-3 1.4x10-3 1.6x10-3 1.8x10-3 2.0x10-3 A1 A2 A3 B1 B2 B3C1 C2 C3 (cm-1)Tf (°C) 100200300400500600700 10-6 10-5 10-4 10-3 10-2 fit ~n8/ (cm-1) (nm) A1 B1 C1A2 B2 C2A3 B3 C3 Figure 6.17: Bulk scattering of synthetic fused silica. Left: Dependence on fictive temperature. Right: Wavelength scaling. demonstrates the consistence and absolute accuracies of the TS and ARS methods. In order to verify the wavelength scaling predicted in Eq. (3.23), similar TS investigations were performed at 325 nm and 633 nm with the set-up described in [118]. The results shown in Fig. 6.16 (right) demonstrate that the wavelength scaling predicted by theory, a ~ n8/4, holds down to 193 nm. Using Eq. (3.23), theoretical values of a can be calculated: The term n8p2 can be approximated by (n2 - 1)2 [96] with n =1.561 for fused silica at 193 nm. T between 5.7x10-11ms2/kg and 6.2x10-11ms2/kg depending on Tf are given in [189]. Thus, theoretical a are obtained between 1.41x10-3cm-1 for Tf = 1050C and 1.54x10-3cm-1 for Tf = 1300C. This is in good agreement with the values measured for the high-purity (low OH) fused silica (material C). Significantly lower a were obtained for materials with higher OH concentrations. This can be explained by additional structural relaxation and alteration of the thermodynamic and elastic properties caused by the integration of the impurities into the network, which enables lower Tf (see Fig. 6.17 (left)) and leads to decreased T [190]. It can be concluded that the theory described in Sec. 3.5 is valid at 193 nm. Consequently, the scattering at 193 nm is related to the concentration of planar 3-fold rings and therefore to strained Si-O bonds in the silica network [191]. Even small impurity levels and the thermal history influence the network structure and, thus, the scattering properties. The investigations of the bulk scattering of fused silica at 193 nm reveal: • Two independent methods based on TS and ARS measurements can be used to determine the bulk scattering coefficient. -1 -1 • The bulk scattering coefficient is between 0.6x10-3 cmand 1.7x10-3 cmdepending on the OH content and the fictive temperature. 67 6 Scattering of optical components at 193 nm and 13.5 nm • The scattering distribution is of the Rayleigh type. Moreover, a ~ Tf and a ~ n8/4 were observed. Thus, the theory developed for the near infrared spectral range holds down to 193 nm. • Consequently, the scattering of synthetic fused silica at 193 nm is caused by thermally-induced disorder in the amorphous structure of the material. At the beginning of this section, it was discussed that scattering measurements can be utilized for the determination of absorptance. Recently, the bulk scattering coefficients of synthetic fused silica measured at 193 nm were in fact used as independent method to verify the results of direct absorption measurements [192]. The results retrieved in this investigation suggest that the scattering coefficients of fused silica at 193 nm can be estimated by extrapolation from values measured at longer wavelengths. Vice versa, measurements at 193 nm can be utilized to characterize materials for longer wavelengths, e.g. for fiber optics applications. Although it has to be taken into account that drawing a fiber from a raw material alters the structural properties, which requires additional scaling models, measurement at 193 nm offers a superior sensitivity even for rather small samples. This method becomes even more attractive considering that bulk scattering exhibits a much stronger wavelength dependence (~ 1/4) than interface scattering (~ 1/2) which relaxes the stringent demands on the surface quality for such investigations. Further developments of DUV lithography suggest that bulk scattering will become even more critical for new high-index materials currently being developed to further increase the resolution of 193 nm lithography tools [193]. The measurement techniques presented in this section permit also these new materials to be investigated with high sensitivity and accuracy. 6.2 Coatings for applications at 13.5 nm In the EUV spectral range, all materials exhibit strong bulk absorption and indices of refraction close to unity. Therefore, only reflective optics can be used, and multilayer coatings consisting of alternating spacer (low absorption) and absorber (high Fresnel reflectance) materials are required. The combination of Mo and Si was found to be the most convenient to achieve highest reflectance at 13.5 nm [194]. The maximum theoretical reflectance of Mo/Si multilayers is R0 ˜ 75% [195] limited by intrinsic absorption of the coating materials. In practice, however, reflectances of R> 68% are hardly being achieved [196, 197] as a result of intermixing and roughness at the boundaries between adjacent layers. The short wavelength imposes tremendous demands on the interface roughness. Supplying 68 6 Scattering of optical components at 193 nm and 13.5 nm sufficiently smooth substrates (down to 0.1 nm rms [54]) and controlling the thin film morphology are some of the greatest challenges for EUV technology in order to achieve high throughput and low scattering. Yet there is still a lack of quantitative information about the influence of substrate and thin film roughness on the scattering distribution, the scatter losses, as well as the link to the specular reflectance. In addition, EUV-induced degradation effects which drastically reduce the throughput of Mo/Si mirrors were observed recently [68, 198], but no investigations of the impact of the mirror degradation on the scattering properties had become known. Both issues will be addressed in this section using the instrumentation developed for scatter and reflectance measurements at 13.5 nm. The EUV coatings for these investigations were deposited by magnetron sputtering at the Optical Coatings department of the Fraunhofer IOF, Jena [197]. First, a method is presented to measure the roughness of EUV mirrors by utilizing scattering at 193 nm, which has some interesting capabilities compared to conventional roughness measurement techniques. 6.2.1 Roughness measurements of EUV mirrors using 193 nm scattering For lithographic imaging, near-angle scattering (flare) is of crucial importance because it leads to degradation of contrast and resolution. Measurement of near-angle scattering at the wavelength of application typically requires highly specialized set-ups to reduce the effects of the instrument signature close to the specular beam [199]. So far, direct measurements at 13.5 nm down to s ˜ 0.1. were only reported in [13]. The top-surface roughness is an indicator for the quality of EUV mirrors. According to Eq. (3.4), near-angle scattering at 13.5 nm in the angular range between 0.1. and 4. is caused by roughness components at spatial frequencies between 0.1 µm-1 and 5 µm-1 . Although phase-shift interferometry offers sufficiently high vertical resolution, its lateral resolution is limited to f . 2 µm-1 . In contrast, AFM is well-suited for roughness analysis at f . 1 µm-1 but has limited applicability at lower spatial frequencies. Hence, there is no sufficiently large overlapping range of established roughness measurement techniques around f =1 µm-1 which is particularly important for near-angle scattering at 13.5 nm. An additional technique is needed to bridge the gap between AFM and PSI data. Roughness measurements by utilizing light scattering techniques are robust, contactless, and directly traceable [117, 200]. Investigations were reported previously in the visible [27, 201] and X-ray [202] spectral ranges. For EUV optical components, however, 69 6 Scattering of optical components at 193 nm and 13.5 nm utilizing visible light restricts roughness analysis to rather low f with limited significance for the application. The wavelength of X-rays, on the other hand, is two orders of magnitude below 13.5 nm and requires measurements at extremely small scatter angles (see Sec. 4). Interestingly, as can be observed from Fig. 4.1, the roughness components relevant for near-angle scattering at 13.5 nm also give rise to scattering at 193 nm but into a wider range of scatter angles between 1. and 85. . This corresponds to a zoom into the relevant spatial frequency range and a relaxation of the near-angle scattering limit required to measure roughness at f ˜ 0.1 µm-1 . Nevertheless, the penetration depth of 193 nm radiation should be smaller than a multilayer period of an EUV mirror (typically ˜ 7 nm) in order to solve the inverse scattering problem directly without need for additional assumptions about the roughness evolution inside the coating. The spectral penetration depth into a typical Mo/Si mirror for 13.5 nm at normal incidence calculated using IMD is shown in Fig. 6.18. 10100 10 100 1000 3 1 penetration depth (nm) (nm) 2 4 Figure 6.18: Penetration depth into Mo/Si multilayer as a function of the incident wavelength. 1 -Si L3 edge, 2 -Bragg resonance at 13.5 nm, 3 -Mo N edges, 4 -193 nm. The dips in the curve can be associated with the Si L3 edge at 12.5 nm (1), the Bragg resonance at 13.5 nm (2), and the Mo N edges around 30 nm (3). At 193 nm (4), the penetration depth is smaller than one multilayer period (6 nm compared to 6.9 nm). Thus, the scattering at 193 nm is particularly sensitive to the top-surface roughness of EUV mirrors. The fortunate circumstances of coincident spatial frequency ranges and the small penetration depth enable direct roughness measurements of EUV mirrors using 193 nm radiation with a direct link to the application at 13.5 nm. In the following, this is discussed in detail for a Mo/Si coating for 13.5 nm deposited on superpolished fused silica (diameter 25 mm) [135]. 70 6 Scattering of optical components at 193 nm and 13.5 nm ARS measurements were performed at 193 nm at quasi-normal incidence. The resulting curve shown in Fig. 6.19 is well above the detection limit of the instrument. -90-60-300306090 10-8 10-7 10-6 10-5 10-4 10-3 10-2 EUV mirror signatureARS (sr-1)s ( ° ) Figure 6.19: ARS measurement at 193 nm of EUV mirror for 13.5 nm. PSDs determined by PSI and AFM are shown in Fig. 6.20 (left) and clearly reveal bandwidth limits and measurement artifacts. In particular around f =1 µm-1 ,a strong variance of the PSDs of nearly one order of magnitude can be observed. These fluctuation effects are caused by the small areas (100 µm²) covered by single measurements [117, 136, 203] and can be suppressed by averaging PSDs determined at different sample positions8 . Although not evident, the PSDs of both PSI and AFM around f =0.1 µm-1 are expected to be underestimated as a result of the nonideal transfer function and filter effects. Nevertheless, a Master PSD was determined by combining PSI and AFM data as described in Sec. 4.4. In addition, PSDs were calculated from the ARS data at 193 nm by applying both the Rayleigh-Rice theory (Eq. (3.5)) and the generalized Harvey-Shack theory (Eq. (3.6)). The results shown in Fig. 6.20 (right) are in good agreement with the Master PSD. The slight deviation at low f is explained by the underestimated Master PSD. Both scattering theories lead to nearly identical PSDs. Though, the GHS result avoids the hook at large f which is obviously an artifact resulting from limitations of the Rayleigh- Rice theory for extremely large scatter angles [56]. The ARS-PSD provides a continuous transition between the PSDs determined from AFM and PSI data. This significantly enhances the information in the spatial frequency range relevant for near-angle scattering at 13.5 nm. Moreover, statistical fluctuation effects are automatically suppressed because the illumination spot diameter of 1 mm for the ARS measurements is equivalent to ~ 105 AFM measurement areas. Furthermore, because the ARS-PSD is fully traceable (through Eqs. 3.3 and 3.4), the method should be useful to verify and calibrate other roughness measurement techniques. 8Similar fluctuation effects were observed in [204, Chap. 10], where as much as 30 scanning tunneling microscope images were analyzed to estimate an average PSD. 71 6 Scattering of optical components at 193 nm and 13.5 nm 0.010.1110 100 101 102 103 104 105 106 107 108 PSI 10x AFM 50x50 µm² AFM 10x10 µm² AFM 1x1 µm² Master PSDPSD (nm4)f (µm-1) 0.1° EUV 4° 0.010.1110 100 101 102 103 104 105 106 107 108 Master PSD ARS 193 nm (RR) ARS 193 nm (GHS) PSD (nm4)f (µm-1) 0.1° EUV 4° Figure 6.20: Roughness analysis of EUV mirror. Left: PSDs determined by PSI and AFM, and combination to Master PSD. Right: PSDs determined from ARS measurements at 193 nm using the Rayleigh-Rice theory and the generalized Harvey-Shack theory compared to the Master PSD. ARS at 193 nm provides roughness information at spatial frequencies relevant for EUV near-angle scattering in sample area of the size of the illumination spot (diameter 1 mm). Consequently, TS measurements at 193 nm provide the corresponding rms roughness but enable rapid, spatially resolved investigations of larger surface areas. A TSb mapping of the EUV mirror was performed at 193 nm in an area of 100 mm2 . For each sample point, the measured TSb value was converted into an rms roughness value using Eq. (3.7). The resulting roughness map is shown in Fig. 6.21. Figure 6.21: Roughness map of EUV mirror measured via TS at 193 nm. The average TSb value is 3.6x10-5 corresponding to an rms roughness of (0.11 ± 0.02) nm. This is in good agreement with the corresponding value of (0.12 ± 0.02) nm determined by integrating the Master PSD from f =0.1 µm-1 to f =5 µm-1 . Assuming that the top-surface topography is dominated by substrate roughness replicated through the multilayer, the single-surface approximation (see Sec. 3.3) can be used to calculate the scattering at the actual wavelength of application. At 13.5 nm, an inte 72 6 Scattering of optical components at 193 nm and 13.5 nm grated near-angle scattering (relative power scattered into the angular range between 0.1. and 4. from the specular direction) of (0.7 ± 0.3)% is predicted. Yet at-wavelength scatter measurements are required to verify this assumption (see next section). In some areas the TS roughness map exhibits values of as high as (0.25±0.03) nm. The PSI images shown in Fig. 6.22 (left) illustrate that the roughness inhomogeneities are linked to a strong variation of the surface topographies and defect densities at different sample positions. The AFM image (Fig. 6.22 (right)) reveals that the defects are small holes (depth ~ 1 nm) -a typical feature of imperfect superpolishings. This suggests that the observed roughness of the EUV coating is a result of replicated substrate roughness. A more detailed analysis will be presented in Sec. 6.2.2. Figure 6.22: Roughness measurements of EUV mirror. Left: PSI images at different positions. Right: AFM image. Finally, one issue that often led to misunderstandings in the past needs to be addressed: The rms roughness determined by PSI and AFM are almost equal. Yet this is just a consequence of the nearly fractal PSD of this sample. In fact, both techniques are sensitive to different spatial frequency regions and do not refer to the same structures. While fractal PSDs are often observed for conventionally polished optical surfaces [78, 79], superpolishing comprises different mechanical and chemical process steps [15] which lead to considerable deviations from purely fractal surfaces. In Fig. 6.20 (right), this effect can be observed as deviation of the measured PSD from a straight line of as much as 600% around f =1 µm-1 . Therefore, roughness data must always be interpreted with respect to the corresponding spatial frequency range. In this section, it was demonstrated that scattering measurements at 193 nm are a powerful technique to determine roughness relevant for near-angle scattering at 13.5 nm. Furthermore, in contrast to local techniques (AFM, PSI), in particular TS mappings enable rapid 100% inspections of larger surface areas. Moreover, the technique could help to meet the eager demands for appropriate characterization of large and curved samples. The procedures can also be used for the characterization of substrates before coating. 73 6 Scattering of optical components at 193 nm and 13.5 nm However, scattering from the backside of transparent substrates has to be suppressed methodically (e.g. using a thin metallic overlayer) or by separating the contributions using appropriate scattering theories [58, 205]. Nevertheless, measurements directly at 13.5 nm are indispensable for the determination of the actual impact of roughness onto the scatter losses under application conditions. At-wavelength scatter measurements of EUV mirrors are presented in the next section, where the sample discussed in this section is identical with sample 3. 6.2.2 Mo/Si mirrors on fused silica: influence of substrate polish As discussed in the preceding sections, top-surface roughness is only one indicator for the scattering of multilayer coatings. In fact, estimations based on top-surface roughness data do not take into account the roughness evolution of buried interfaces and their cross-correlation properties (or use simplified models). Moreover, surface or bulk defects not contained in the roughness analysis might induce additional scattering. At-wavelength scatter measurements are, thus, essential for substantiated statements. To investigate the influence of the substrate quality on the properties of EUV mirrors, Mo/Si multilayers with N = 60 designed for 13.5 nm at an angle of incidence of 5. were deposited in the same run on differently polished fused silica substrates. The substrate of sample 1 represents the state-of-the-art of plane superpolished surfaces. The substrates of samples 2 and 3 are two research superpolishings from another manufacturer. The results of ARS measurements performed at 13.5 nm with i =5. using the instrumentation described in Sec. 5.2 are shown in Fig. 6.23. The heights of the specular peaks at 5. are related to the reflectance (R = ARS(s =5) s). Nevertheless, reflectance values were determined in a separate step described below to increase the accuracy of the results. Furthermore, the ARS curves reveal significantly different offspecular scattering. Since all coatings were deposited in the same run, this is most likely a result of different substrate roughness. The scatter losses of the samples were determined by integrating the ARS curves after coordinate transformation to shift the specular peak to 0. and by assuming isotropic scattering (a detailed discussion of this procedure is given in [116]). Significant scatter losses between (0.8 ± 0.3)% for sample 1 and (3.9 ± 1.0)% for sample 2 were observed. A complete overview over all measurement results is given in Tab. 6.5 on page 76. As can be seen in Fig. 6.23, scattering into angles > 20. is below the current sensitivity limit of the instrument. Nevertheless, the total scatter loss is dominated by scattering into smaller scatter angles. In addition, the instrument signature obscures the diffuse scattering of the samples close to the specular peak (see inset in Fig. 6.23), the nearangle limit being approximately 0.5 from the specular direction determined by the 74 6 Scattering of optical components at 193 nm and 13.5 nm -20-1001020 10-3 10-2 10-1 100 101 102 103 104 0.1110 10-3 10-2 10-1 100 101 102 103 sample 2 sample 3 sample 1 signatureARS (sr-1)s ( ° ) s - i ( ° ) Figure 6.23: Mo/Si mirrors on different substrates. ARS at 13.5 nm. The inset shows the same graphs on a double-logarithmic scale. width of the specular peak. In particular for sample 1, a significant influence of the signature up to 5. from the specular direction is observed. This can be attributed to the effect of scattering from the beam preparation system. However, the signature equivalent contribution to the TS of the samples is below 0.15% and can be neglected. The integrated near-angle scattering of sample 3, calculated by integrating the ARS between 0.5. and 4. from the specular direction, amounts to (0.7 ±0.2)%. This value is in excellent agreement with the prediction based on ARS measurements at 193 nm (see Sec. 6.2.1). Moreover, the validity of the single-surface approximation used indicates fully correlated roughness in the mid-spatial frequency range. While knowledge of the top-surface roughness seems to allow predicting the integrated near-angle scattering, a more detailed roughness analysis is necessary to explain this effect and to analyze the observed scattering distribution. Therefore, AFM measurements were performed before and after coating. Representative images are shown in Fig. 6.24. The corresponding rms roughness values indicate both roughening and smoothing effects. In [131], such roughness values were empirically correlated to scattered intensity at rather arbitrary chosen scatter angles. In contrast, a direct link between roughness and scattering properties can be provided by ARS and roughness evolution modeling. Master PSDs determined from AFM data for each sample before and after coating are shown in Fig. 6.25 (left). The mid-spatial frequency roughness, which is relevant for near-angle scattering (see Sec. 3.2), is dominated by the substrate roughness for all samples. The high-spatial frequency roughness, which is relevant for the scatter loss, is influenced by substrate roughness as well as by roughening and smoothing effects during thin film growth. The PSDs reveal that the transition from replication of substrate roughness to dominating influence of intrinsic thin film roughness occurs between 2 µm-1 to 20 µm-1, depending on the substrate. This is more than one order of magnitude higher than the values observed for DUV coatings (Sec. 6.1.2) and indicates 75 6 Scattering of optical components at 193 nm and 13.5 nm Figure 6.24: Mo/Si mirrors on different substrates. AFM images before (bottom) and after (top) coating. a much lower intrinsic roughness of EUV coatings as a result of higher adatom mobility. Bandwidth-limited rms roughness values before and after coating and the measured scatter losses are summarized in Tab. 6.5. It is interesting to note that all samples meet the specification for EUV lithography in the MSFR (0.2 nm) but fail with regard to the HSFR (0.1 nm) [54]. A linear correlation can be observed between the scatter loss and the roughness before or after coating9 . Nevertheless, a quadratic correlation could be expected considering Eq. 12. Moreover, the total scattering should vanish for s . 0. Yet thorough scatter analysis requires knowledge about the roughness evolution inside the coatings. Sample 1 Sample 2 Sample 3 MSFR (nm) 0.091 (0.11)2 0.15 (0.15) 0.17 (0.16) HSFR (nm) 0.21 (0.13) 0.30 (0.34) 0.25 (0.25) s relevant for TS (nm) 0.20 (0.12) 0.28 (0.32) 0.24 (0.21) TSb (%) 0.8±0.3 3.9±1.0 2.3±0.5 Table 6.5: Mo/Si mirrors on different substrates. Bandwidth-limited rms roughness and total backscattering. *1 Uncertainty of rms roughness is 0.02 nm (rms). *2 Values in parenthesis are for the uncoated substrates. In contrast to thermal boat evaporated DUV coatings, the linear growth theory can be expected to be valid for magnetron sputtered EUV coatings [14]. The main reason is 9In the range of the measured roughness values between 0.1 nm and 0.3 nm, TSb ˜ 0.15s - 0.01 and TSb ˜ 0.4s - 0.07 using the roughness (in nm) before and after coating, respectively. 76 6 Scattering of optical components at 193 nm and 13.5 nm 0.1110100 10-2 10-1 100 101 102 103 104 105 TS (scatter loss)near-angle scatterHSFR Sample 2 Sample 3 Sample 1 uncoated substrate EUV mirrorPSD (nm4)f (µm-1) MSFR 0.1110100 10-2 10-1 100 101 102 103 104 105 AFM N=60 mod. N=60 mod. N=40 mod. N=20 mod. N=10 AFM substratePSD (nm4)f (µm-1) Figure 6.25: Mo/Si mirrors on different substrates. Roughness analysis. (a) PSDs calculated from AFM measurement of samples before (dashed) and after (solid) coating. (b) Simulation of the roughness evolution for sample 1 using the linear growth theory. the much higher kinetic energy of the deposited particles (~ 10 eV [67, 206] compared to < 0.1 eV [172, 206]) leading to a higher adatom mobility and preventing shadowing effects and columnar growth if appropriate deposition parameters (pressure, bias, ...) are found [67, 206]. Consequently, the roughness evolution of the coatings could be modeled using Eq. (3.18) provided that the PSDs of the substrate or the coating, the multilayer design, and all growth parameters are known. The result of this procedure can be verified via scatter analysis. The parameters for the roughness modeling were determined by fitting simulated PSDs for N = 60 to the measured top-surface PSDs. The results are ( , , ) =(0.015 nm3 , 3 nm3.5, 4.5) for the Si layers and (0.03 nm3, 3nm3.5, 4.5) for the Mo layers, which is in reasonable agreement with the values reported in [14]. The estimated O for the Si layers is close to the atomic volume (0.02 nm3) indicating an amorphous structure [14]. The value for the Mo layers is considerably larger than the atomic volume (0.016 nm3 [164]), which indicates a partly polycrystalline structure as reported in [14, 67, 206]10 . The observed relaxation exponent of . ˜ 4 indicates that surface diffusion is the dominant relaxation process during thin film growth, as for the DUV coatings. The LGT in fact explains both the stochastic roughening and smoothing effects as a result of local relaxation via surface diffusion [14] observed via AFM. Selected model- PSDs for sample 1 are shown in Fig. 6.25 (right). Smoothing only occurs at very high spatial frequencies. The effect could be shifted to lower spatial frequencies by adding more layer pairs but this would automatically lead to increased MSFR. Final verification of the linear growth theory can only be performed via light scattering 10In fact, in [207] it was discussed that the thickness of the Mo layers triggers the transition from amorphous to polycrystalline growth. 77 6 Scattering of optical components at 193 nm and 13.5 nm measurements, since roughness measurements do not provide information about the cross-correlation of different interfaces. Therefore, ARS was simulated using Eq. (3.9) and the roughness data predicted by LGT. The results are shown in Fig. 6.26. -20-1001020 10-3 10-2 10-1 100 101 102 103 104 s2 meas. s2 mod. = 0 s3 meas. s3 mod. = 0.1 s1 meas. s1 mod. = 0.3 signatureARS (sr-1)s ( ° ) Figure 6.26: Mo/Si mirrors on different substrates. ARS measurement and modeling results. The good agreement between the simulated and the measured curves proves the validity of both the scattering theory at 13.5 nm and the LGT for the Mo/Si coatings. Moreover, this demonstrates that interface roughness is the dominating source of scattering and the interfaces are highly correlated also in EUV coatings. This also means that the scattering of EUV mirrors can be predicted if the substrate PSD and the growth parameters are known. In contrast to the ARS modeling based on LGT, the procedure presented in Sec. 6.1.1 does not require any a-priori knowledge about the growth parameters. Using this procedure, a constant value of d =0.005 was observed for all EUV coatings. Although, this may indicate a systematic layer thickness deviation, this effect has another, rather simple explanation, as will be shown below. Different ß were determined via scatter analysis, which represent the impact of substrate roughness on the scattering properties. Sample 2 (ß = 0) exhibits fully correlated roughness indicating purely substrate-dominated interface roughness. In contrast, sample 1 (ß =0.3) exhibits a significant contribution of intrinsic thin film roughness close to the random deposition limit which would be expected for an ideally smooth substrate. Yet it can be concluded that, in contrast to DUV mirrors, substrate roughness is the most critical factor for the scattering of EUV mirrors even if high-end superpolished substrates are used. To investigate the impact of the observed scattering properties on the throughput of the EUV mirrors, specular reflectance measurements were performed as a function of the angle of incidence at 13.5 nm (-2. measurement). The results are shown in Fig. 6.27 (left) together with curves simulated using IMD by taking into account the 78 6 Scattering of optical components at 193 nm and 13.5 nm finite bandwidth of the incident radiation. In addition, results of spectral reflectance measurements performed at the EUV metrology lab of the Physikalisch-Technische Bundesanstalt (PTB) at the electron storage ring BESSY II [144] at a fixed angle of incidence of 5. are shown in Fig. 6.27 (right). 0102030405060708090 10-2 10-1 100 Ri ( ° ) sample 1 sample 3 sample 2simulation (Mo/Si)60 with capping layer: Si 2 nm SiO2 12.813.013.213.413.613.814.014.2 0.1 0.2 0.3 0.4 0.5 0.6 0.7 R (nm) sample 1 sample 3 sample 2 Figure 6.27: Mo/Si mirrors on different substrates. Left: Results of -2-measurements at 13.5 nm. Right: Spectral reflectance curves. As discussed in Sec. 5.2, -2. measurements at 13.5 nm are equivalent to spectral reflectance measurements by eliminating dispersion effects. The R values of the -2. curves at i =5. correspond to the specular reflectance at 13.5 nm at the design angle. The results listed in Tab. 6.6 were found to be in good agreement with the corresponding values of the spectral reflectance curves at 13.5 nm [136]. The actual peak values of the -2. curves are located at 7.8. rather than at 5.0. . Using the Bragg equation, this can be explained by a slight deviation of the multilayer period corresponding to a shift of the peak wavelength from 13.50 nm to: cos(5.0) peak = 13.50 nm =13.57 nm (6.4) cos(7.8) if the multilayers are illuminated at 5. . In fact, Fig. 6.27 (right) reveals that the spectral position of the peak reflectance is located at 13.57 nm rather than at 13.50 nm. This asymmetry, which also explains the d determined via ARS analysis considering that (see Sec. 6.1.2) . ˜ d ˜ 0.07 nm, can be attributed to the effect of absorption in the coating materials. Nevertheless, the coatings were designed with respect to the FWHM at 13.5 nm. This constitutes the more important condition regarding the throughput [208] and is clearly fulfilled for all mirrors. The -2. curves in Fig. 6.27 (left) are shown on a logarithmic scale to illustrate that this method provides additional information about the EUV mirrors. The positions and forms of the Bragg peaks indicate equal multilayer periods and bandwidths in agreement with the spectral reflectance curves. Furthermore, the steep increase near 79 6 Scattering of optical components at 193 nm and 13.5 nm i = 70, corresponding to the critical angle of total external reflection11, enables a sensitive analysis of the top-layers. Theoretical modeling using IMD revealed a naturally grown SiO2 top-layer with a thickness of 2 nm in agreement with the literature [68, 196]. The enhanced reflectance around 50. were found to be caused by residual out-of-band radiation as a result of degradation of the Zr filter [76]. The investigation results demonstrate that the -2. measurements are consistent with the well-established spectral reflectance methods and provide similar and complementary information about the samples. Nevertheless, specular measurements do not distinguish between losses generated by absorption, intermixing, and scattering. The instrumentation developed in the frame of this work enables both specular reflectance and scatter measurements at 13.5 nm, which allows the transition from specular reflection to off-specular scattering to be analyzed. The TSb and R values determined at 13.5 nm are summarized in Tab. 6.6. The sum of the TSb and R values are nearly identical for all samples as could be expected. This demonstrates that the reduced specular power reappears as diffuse scattering and the scatter loss is dominated by backscattering. Sample 1 Sample 2 Sample 3 TSb (%) 0.8±0.3 3.9±1.0 2.3±0.5 R (%) 68.3±0.2 65.0±0.3 66.5±1.0 R+TSb (%) 69.1±0.4 69.0±1.0 68.8±0.7 Table 6.6: Mo/Si mirrors on different substrates. Results overview. *1 Uncertainty of rms roughness values 0.02 nm. *2 Values in parenthesis are for the uncoated substrates. It is interesting to note that commonly used estimations of the impact of roughness on the reflectance using either the Debye-Waller factor (Eq. (3.1)) with the single-surface approximation (method 1) or the method of modified Fresnel amplitude coefficients (Eq. (3.2), method 2) do not provide accurate results for real EUV mirrors. As an example, for sample 1 with a relevant roughness of 0.2 nm, a roughness-induced loss of R = R - R0 =2.4% is predicted by method 1. In contrast, method 2 leads to R =0.44%. Both results substantially differ from the measured value of 0.8% considering that R ˜ TSb 12 . This can be explained as follows: Method 1 assumes c . . and neglects the roughness evolution. As a result, the roughness-induced loss is overestimated. In method 2, roughness evolution can be included, but c . . is assumed. Moreover, resonant scattering effects are not taken into account which results in an underestimation of the loss. 11This effect is possible because the indices of refraction of all materials at 13.5 nm are smaller than unity. 12This of cause only holds for the scatter-relevant roughness. However, the results in Tab. (6.5) indicate that most of the HSFR is in the scatter-relevant spatial frequency range. 80 6 Scattering of optical components at 193 nm and 13.5 nm The actual impact of roughness can only be determined by accurate scatter modeling (which yields a scatter loss of 0.79% for sample 1) or direct scatter measurements. As discussed in the preceding sections, ARS modeling also allows predicting the scattering of EUV coatings prior to manufacturing. This can be exploited to determine the optimum number of layer pairs for a given substrate to achieve the highest reflectance possible by accurately taking into account roughness evolution effects. In Fig. 6.28 (left), the results of simulations of TSb as a function of N are shown for Mo/Si mirrors on ideally smooth substrates assuming identical deposition conditions as for the real coatings discussed above. A nearly linear increase of TSb(N) can be observed, which v can be explained considering TS ~ 2 (Eq. (3.7)) and s ~ N (Eq. (3.21)). The effect of the roughness evolution on the reflectance is illustrated in Fig. 6.28 (right). The reflectance of an ideal multilayer with smooth and sharp interfaces calculated using a simple 2-layer model13 converges to the theoretical value of 75%. Real Mo/Si coatings exhibit intermixing and a naturally grown Si top-layer. This can be modeled using a 4-layer approach14 . Interface roughness is typically assumed to be independent of N. Hence, all of these effects lead to a constant offset to the theoretical reflectance limit. By accurately taking into account roughness and scattering as a function of N, it can be shown that R does not saturate but decreases for large N (Fig. 6.28 (right, violet curve)). For the ideally smooth substrate, the optimum is at N ˜ 64. This is close to the value of N = 60 of the coatings presented above, which was chosen in a rather heuristic manner as the value at which the gain of the theoretical reflectance becomes negligible [67]. However, the modeling procedure enables an optimum N to be customized to any given substrate by exploiting smoothing and controlling roughening effects. For rougher substrates, N can be increased to smoothen the HSFR up to the point when thin film roughness begins to increase the MSFR. It is interesting to note that the intrinsic scatter loss of the Mo/Si coatings investigated in this section is 0.7%, which represents the potential for increasing the reflectance by further optimization of the deposition process. However, the investigation of the impact of the substrate roughness clearly demonstrates that there is a much higher potential if the substrate polishing can be improved or if smoothing effects can be exploited. The results of this section can be summarized as follows: • Even high-quality EUV mirrors on superpolished substrates exhibit significant scattering at 13.5 nm. The scatter losses of the analyzed samples are between (0.8 ± 0.3)% and (3.9 ± 1.0)%. 13(2.63 nm Mo/4.28 nm Si)60 14(1.75 nm Mo/0.49 nm MoSi2/3.40 nm Si/1.27 nm MoSi2)59/1.75 nm Mo/0.49 nm MoSi2/3.26 nm Si/1.9 nm SiO2) [68] 81 6 Scattering of optical components at 193 nm and 13.5 nm 0102030405060708090100 0.2 0.4 0.6 0.8 1.0 sim. linear fit TSb (%)N 2030405060708090100 65 70 75 ideal multilayer: R0(N) + intermixing + SiO2 + interm. + roughness evolution R (%)N Figure 6.28: Mo/Si mirror on ideally smooth substrate. Total scatter loss (left) and its effect on the specular reflectance (right) as a function of the multilayer period. • The main source of scattering is interface roughness. Near-angle scattering is dominated by replicated substrate roughness. The scatter losses depend on both the substrate and intrinsic thin film roughness. • Estimating the effect of roughness on multilayer reflectance using the Debye- Waller factor or modified amplitude coefficients can lead to substantial errors because either the roughness evolution or resonant scattering effects are neglected. Accurate determination of roughness-induced losses requires scatter modeling or direct measurements. • The linear growth theory accurately describes the roughness evolution of magnetron sputtered EUV coatings. For a given substrate, an optimum N can be determined with balanced roughening and smoothing to optimize the scattering and the specular reflectance. • On ideally smooth substrates, the intrinsic scattering of the Mo/Si coatings is 0.7% and the optimum number of layer pairs is N = 64. • The ARS modeling procedure presented in Sec. 6.1.1 enables the influence of substrate roughness on the scattering properties to be characterized by a single parameter, . For ß = 0, the scattering can be entirely attributed to replicated substrate roughness. Finally, electron microscopy was performed to illustrate the scatter-relevant structures in EUV coatings. However, these structures are impossible to visualize via crosssectional analysis (vertical structures <0.5 nm on a lateral scale ~ 100 nm). Therefore, Mo/Si coatings with intentionally rough interfaces were generated. An SEM image of a coating deposited onto a standard polished substrate is shown in Fig. 6.29 (left). 82 6 Scattering of optical components at 193 nm and 13.5 nm Despite the poor quality of the cross-section (no polishing applied), the replication of substrate defects through the multilayer can be observed. Fig. 6.29 (right) shows a TEM image of a coating which was roughened by intentionally detuning the deposition parameters (increased pressure) [209]. Columnar growth caused by lower adatom mobility leads to a roughness in the order of 1 nm. State-of-the-art EUV coatings generally exhibit much smoother interfaces [67, 206, 210]. Nevertheless, the results of this section demonstrated that even coatings with an rms roughness of as low as 0.2 nm exhibit significant scattering at 13.5 nm. Figure 6.29: Cross-sectional electron microscopy images of intentionally roughened Mo/Si coatings. Left: SEM. Right: TEM (image provided by Stefan Braun, Fraunhofer IWS, Dresden). 6.2.3 Characterization of degradation effects of EUV mirrors The application of multilayer coatings for EUV lithography requires not only highest possible normal-incidence reflectance but also long-term thermal and radiation stability. Radiation-induced carbon growth, oxidation, and erosion of the multilayer structure are known processes that induce a degradation of the optical properties of EUV mirrors [68, 139, 198]. Investigations of the radiation-induced degradation of EUV mirrors were presented in [68]. Irradiation experiments were performed utilizing the Xe discharge plasma source described in Sec. 5.2.2. EUV coatings were exposed directly to the plasma emission for up to 8 hours at a distance of 140 mm corresponding to an EUV-dose of approximately 4 J/cm2 . A combination of different characterization techniques was used to analyze the degradation effects. The results for Si capped Mo/Si mirrors (N = 60) are summarized as follows: Synchrotron-based EUV reflectometry [132] revealed a drastic decrease of the peak reflectance from 68.4% to 50.0% at 13.44 nm after irradiation. Total oxidation of the Si top-layer and partial oxidation of the Mo sub-layer after irradiation was detected by X-ray photon spectroscopy [211]. X-ray reflectivity was used to determine the top-layer thicknesses. AFM revealed a significantly increased top-surface roughness from 0.14 nm to 0.49 nm (see Fig. 6.30). 83 6 Scattering of optical components at 193 nm and 13.5 nm Figure 6.30: EUV-induced degradation of Mo/Si mirrors. AFM images before (left) and after (right) irradiation. Based on these results, a model was developed which explains the EUV-induced degradation of the Mo/Si mirrors by changes of the geometrical thicknesses (disturbed interference properties) and increased intrinsic absorption of the oxidized top-layers. Yet the question arises, how far the degradation, in particular the drastically increased roughness, alters the scattering properties of the EUV mirrors. In a subsequent study [139], the measurement and analysis techniques developed in this work were applied to investigate the degradation effects directly at 13.5 nm. The main results are presented in the following paragraphs. The results of -2. measurements at 13.5 nm are shown in Fig. 6.31. The reflectance at normal incidence decreases from (66.9 ± 0.3)% to (48.4 ± 0.3)% during irradiation. These values are in good agreement with the corresponding values of (67.2 ± 0.1)% and (49.0 ± 0.1)% obtained from spectral reflectance curves at 13.5 nm measured at the PTB [132]. The nearly identical angular position of the Bragg peak before and after irradiation indicates that no spectral shift of the peak reflectance occurred during irradiation. The shapes of the curves above the critical angle at i ˜ 80. suggest different top-surface roughness, as will be discussed more precisely below. A strong impact of the mirror degradation on the -2. curve can be observed at i ˜ 30. and i ˜ 65. . Theoretical curves were fitted to the measurement results assuming a composition of unoxidized and oxidized layers with the layer thicknesses as free fitting parameters. The thicknesses of the uppermost layers estimated by the different methods are listed in Tab. 6.7. Despite a considerable variation of the results for the thickness of the MoO2 layer, which might be caused by sample inhomogeneities, there is a rather good agreement between the results of the different techniques. In order to investigate the impact of the irradiation-induced roughness enhancement on the scattering, ARS measurements were performed at 13.5 nm. The measurement results for normal incidence are shown in Fig. 6.32 (left). Surprisingly, the irradiated coating (with the rougher surface) exhibits a significantly lower ARS. TSb values of (0.9 ± 0.2)% and (0.5 ± 0.1)% were determined from the ARS data of the coating 84 6 Scattering of optical components at 193 nm and 13.5 nm 0102030405060708090 10-4 10-3 10-2 10-1 100 R (%)i ( ° ) as-deposited after irradiation modeling results Figure 6.31: EUV-induced degradation of Mo/Si mirrors. -2. measurement and modeling results. R() XRR . - 2. SiO2 7.7 7.3 9.5 Si 0 0 0 MoO2 4.3 7.0 1.4 Mo 0.7 0.7 0.7 Table 6.7: EUV-induced degradation of Mo/Si mirrors. Comparison of the top-layer thicknesses after irradiation as determined by different techniques. The un certainty of the values is approximately 1 nm. before and after irradiation, respectively. Thus, the degradation does not deteriorate the scattering properties. This effect can only be explained by confinement of the roughness enhancement to the uppermost layer, which only contributes a small part to the total scattered power. Scattering from the buried interfaces is attenuated because of increased absorption in the oxidized layers. To verify this conclusion, ARS measurements were performed at i = 80. near the critical angle of total external reflection. Because in this arrangement the penetration depth is only about 10 nm, this enables a surface-sensitive roughness measurement. The results shown in Fig. 6.32 (right) in fact reveal a higher ARS after irradiation. The TSb increases from (1.3 ± 0.2)x10-3 to (3.3 ± 0.5)x10-3 . The corresponding rms roughness values calculated using Eq. 3.7 are (0.27 ± 0.03) nm and (0.42 ± 0.05) nm before and after irradiation, respectively. Although the top-surface roughness of the as-deposited sample is slightly overestimated as a result of background noise, the result for the irradiated coating is in good agreement with the roughness measured by AFM. Further optimization of the instrument should allow for even more sensitive investigations of coated and uncoated surfaces at 13.5 nm. Though, the dynamic range has to be increased by approximately 4 orders of magnitude for this purpose. However, consider 85 6 Scattering of optical components at 193 nm and 13.5 nm -30-20-100102030 10-4 10-3 10-2 10-1 100 101 102 103 104 ARS (sr-1)s ( ° ) as-deposited after irradiation signature 0102030405060708090 10-4 10-3 10-2 10-1 100 101 102 103 104 ARS (sr-1)s ( ° ) as-deposited after irradiation Figure 6.32: EUV-induced degradation of Mo/Si mirrors. Results of ARS measurements performed at i =0. (left), and i = 80. (right). ing that the spatial frequency range relevant for scattering at 13.5 nm can be extended up to ˜ 2/. by increasing the angle of incidence, a higher sensitivity should enable roughness measurements at spatial frequencies where even AFM encounter limitations caused by the tip geometry. The results presented in this section demonstrate that the instrumentation developed for scattering and reflectance measurements at 13.5 nm together with innovative analysis methods enables investigating both the degradation effects of EUV coatings (decreased reflectance) and the origins of degradation (top-layer oxidation) directly at the wavelength of application. Moreover, the observed scattering properties can be exploited to monitor the degradation of EUV collector optics during operation without influencing the specular beam. In particular the capabilities of the -2. measurement technique demonstrated for the investigations at 13.5 nm can be useful also for investigations of DUV coatings at 193 nm. Furthermore, roughness measurements of DUV coatings using 13.5 nm radiation could provide more information about the link between small-scale roughness, porosity, and water content of fluoride films. Thus, the measurement and analysis methods developed at 13.5 nm give new impulses for further investigations of coatings for 193 nm. 86 7 Conclusions The recent developments in semiconductor lithography place challenging demands on optical components for 193 nm and 13.5 nm. In particular light scattering becomes crucially important because of its strong wavelength dependence. However, information about the scattering of optical components at 193 nm and 13.5 nm and its origins had so far remained unsatisfactory. This was mainly caused by a lack of appropriate laboratory-size measurement and analysis tools. This thesis concentrates on the development of a complete methodology, comprising effective instruments for sensitive scattering measurements at 193 nm and 13.5 nm as well as the link to theoretical modeling and analysis tools to investigate the relevant scattering mechanisms at both wavelengths in a uniform manner. An excimer laser-based system for total scattering (TS) and angle resolved scattering (ARS) measurements at 193 nm has been accomplished. The TS set-up exhibits a sensitivity of better than 10-6 . The set-up for ARS enables measurements at arbitrary angles of incidence and scattering. A background-equivalent ARS below 10-6 sr-1 corresponding to a dynamic range of 12 orders of magnitude has been achieved. This sensitivity makes the instrument capable for investigations of even superpolished substrates. For 13.5 nm, a novel instrument based on a Xe gas discharge plasma source has been developed. ARS can be measured in the entire scattering plane at arbitrary angles of incidence. The background-equivalent ARS is below 10-3 sr-1 corresponding to a dynamic range of 6 orders of magnitude. TS values are obtained from ARS data by numerical integration. The system constitutes the first synchrotron-independent instrument for scatter measurements of EUV coatings. Along with scatter measurements, the goniometric set-ups for 193 nm and 13.5 nm allow reflectance and transmittance measurements at arbitrary angles of incidence. It was demonstrated that reflectance as a function of the angle of incidence yields information equivalent to spectral reflectance (peak reflectance, bandwidth, layer thickness, etc.) while excluding dispersion effects. In addition to the measurement equipment, theoretical tools were developed to analyze the observed scattering. Different theories for roughness-induced scattering in the visible and X-ray spectral ranges were shown to yield identical results. Following these 87 7 Conclusions theories, the scattering from surfaces and thin film coatings can be interpreted as first-order diffraction at different roughness components and interference of radiation scattered at different interfaces. The theories proved to be valid at both 193 nm and 13.5 nm, and interface roughness was found to be the main source of scattering for both DUV and EUV coatings. A novel procedure for the characterization of multilayer coatings which combines scattering and roughness measurement and modeling was presented. The relevant structural properties are expressed by two descriptive parameters. The scaling exponent ß describes the roughness evolution in the multilayer, and d describes optical layer thickness deviations. It was found that methods using the Debye-Waller factor or modified amplitude coefficients lead to substantial errors in estimating the effect of roughness on multilayer coating reflectance. This is because either the roughness evolution or resonant scattering effects are neglected. Accurate determination of roughness-induced losses requires scatter modeling or direct measurements. Fluoride HR coatings for 193 nm applications exhibited total backscattering between 0.9% and 2.8% depending on the deposition conditions and coating materials, while TSb values of as low as 1.2x10-5 were observed for uncoated substrates. The drastically increased scattering was attributed to (i) increased interface roughness, (ii) increased reflectance, and (iii) strong correlation of different interfaces. In addition, even small deviations in optical layer thickness were determined to be crucial. Intrinsic thin film roughness was identified as the dominating factor for the scattering properties. The relevant thin film structures were found to be superstructures with spatial frequencies f . 1/. ˜ 5 µm-1 resulting from columnar growth rather than the granular structure itself. In contrast, scattering is nearly independent of substrate roughness, i.e. superpolishing is not mandatory for low-loss HR coatings. On the other hand, optical layer thickness deviations of as low as 1%, which were associated with different porosities and water adsorption of the films, induced enhanced scattering. Consequently, suppressing columnar growth, e.g. by ion-assisted deposition, should lead to substantially improved scattering properties. Bulk scattering from synthetic fused silica at 193 nm was found to be caused by Rayleigh scattering. Scattering coefficients a between 0.6x10-3 cm-1 and 1.7x10-3 cm-1 depending on the purity and thermal history of the materials were observed. The bulk scattering was related to the amorphous structure and exhibits a wavelength scaling of a ~ 1/4 . As a result, measurements at 193 nm can be used to predict the scattering at longer wavelengths with superior sensitivity. Moreover, the developed methods are well-suited for investigations of new high-index bulk materials for DUV immersion lithography currently under development. Scatter measurements at 193 nm were demonstrated to be well-suited for surface rough 88 7 Conclusions ness measurements of EUV mirrors. Roughness associated with high-angle scattering at 193 nm causes near-angle scattering at 13.5 nm, which is more difficult to measure. This enables rapid investigations of the roughness and homogeneity of EUV coatings at 193 nm with a direct link to near-angle scattering at 13.5 nm. Investigations at 13.5 nm revealed that, unlike the scattering of DUV coatings, the scattering of EUV coatings is dominated by replicated substrate roughness, with increasing influence of intrinsic thin film roughness only at larger scatter angles (. 10). Considerable scattering occurred even if supersmooth substrates with rms roughness of as low as 0.1 nm were used. Total backscattering between 0.8% and 3.9% depending on the substrate quality was determined. The intrinsic scattering of the investigated coatings, if deposited onto an ideally smooth substrate, would amount to 0.7%. Therefore, increasing substrate quality has a higher potential for increasing the reflectance of EUV mirrors. The effect is presumably even more critical if curved substrates are used. Reflectance and scatter measurements at 13.5 nm enabled the investigation of the EUVinduced degradation of Mo/Si mirrors. The reduction of reflectance and the origin of degradation -oxidation of the top-layers -were analyzed directly at the wavelength of application. Although a drastic increase of surface roughness during irradiation was detected via scatter measurement at near-grazing incidence, scatter losses decreased from 0.9% to 0.5%. This indicates that the degradation is confined to the uppermost interfaces and does not deteriorate the scattering properties. The results presented in this thesis motivate further refinement of the measurement techniques for 193 nm and 13.5 nm. For example, reflectance and transmittance measurements at 193 nm as a function of the angle of incidence could provide more insight into the scattering properties of DUV coatings. Moreover, scatter measurements at 13.5 nm could be used to investigate porosity and surface adsorbates of fluoride coatings as well as the roughness of EUV substrate surfaces before coating. Because of the short wavelength, roughness can be measured with a lateral resolution of better than 10 nm where even atomic force microscopy encounters limitations by the tip geometry. However, because of the low single-surface reflectance of all materials at 13.5 nm, this requires measurements at grazing incidence (to exploit the total external reflection) or a further increase of the dynamic range of about 4 orders of magnitude. The roughness and scattering models presented in this thesis can be included in the design of thin film coatings. For example, the number of layer pairs can be adjusted to the substrate at hand by exploiting smoothing effects which enables to relax the stringent demands on DUV and EUV substrates. 89 Bibliography [1] D. T. Attwood, Soft X-ray and Extreme Ultraviolet Radiation: Principle and Applications (Cambridge University Press, New York, 1999), chap. 1. [2] A. Duparr´e, “Scattering from Surfaces and Thin Films,” in Encyclopedia of Modern Optics, B. Guenther and D. Steel, eds. (Elsevier, 2004), pp. 314–321. [3] M. Witt, “Industrial applications of excimer lasers,” Hyperfine Interactions 37, 415–421 (1987). [4] E. Spiller, Soft-X-Ray Optics (SPIE Optical Engineering Press, Bellingham, Wash., 1994), chap. 12. [5] H. Kawata, J. M. Carter, A. Yen, and H. I. Smith, “Optical projection lithography using lenses with numerical apertures greater than unity,” Microelectron. Eng. 9, 31–36 (1989). [6] G. E. Moore, “Cramming more components onto integrated circuits,” Electron. 38, 114–117 (1965). [7] B. J. Lin, “Optical lithography -Present and future challenges,” Comptes Rendus Physique 7, 858–874 (2006). [8] K. Kemp and S. Wurm, “EUV lithography,” Comptes Rendus Physique 7, 875–886 (2006). [9] B. Wu and A. Kumar, “Extreme ultraviolet lithography: A review,” J. Vac. Sci. Technol. B 25, 1743–1761 (2007). [10] International Technology Roadmap for Semiconductors, “Key Messages from the International Roadmap Committee,” http : //www.itrs.net/news.html (2007). [11] M. Totzeck, W. Ulrich, A. G¨ ohnermeier, and W. Kaiser, “Pushing deep ultraviolet lithography to its limits,” Nature Photonics 1, 629–631 (2007). [12] J. E. Harvey, K. L. Lewotsky, and A. Kotha,“Effects of surface scatter on the optical performance of x-ray synchrotron beam-line mirrors,” Appl. Opt. 34, 3024–3032 (1995). [13] E. M. Gullikson, S. Baker, J. E. Bjorkholm, J. Bokor, K. A. Goldberg, J. E. M. Goldsmith, C. Montcalm, P. Naulleau, E. A. Spiller, D. G. Stearns, J. S. Taylor, and J. H. Underwood, “Scattering and flare of 10x projection cameras for EUV lithography,” Proc. SPIE 3676, 717–723 (1999). [14] D. G. Stearns, D. P. Gaines, D. W. Sweeney, and E. M. Gullikson, “Nonspecular x-ray scattering in a multilayer-coated imaging system,” J. Appl. Phys. 84, 1003–1028 (1998). [15] D. Collier and R. Schuster, “Superpolishing Deep-UV Optics,” Photonics Spectra 39, 68–73 (2005). [16] J. Ferr´e-Borrull, A. Duparr´e, and E. Quesnel, “Roughness and Light Scattering of Ion-Beam- Sputtered Fluoride Coatings for 193 nm,” Appl. Opt. 39, 5854–5864 (2000). [17] P. Kadkhoda, H. Welling, S. Guenster, and D. Ristau, “Investigation on total scattering at 157 nm and 193 nm,” Proc. SPIE 4099, 65–73 (2000). [18] E. M. Gullikson, D. G. Stearns, D. P. Gaines, and J. H. Underwood, “Nonspecular scattering from multilayer mirrors at normal incidence,” Proc. SPIE 3115, 412–419 (1997). [19] O. Apel and K. Mann, “DUV scattering measurements as a tool for characterization of UVoptical surfaces,” Appl. Phys. A 72, 59–65 (2001). [20] M. Otani, R. Biro, C. Ouchi, M. Hasegawa, Y. Suzuki, K. Sone, S. Niisaka, T. Saito, J. Saito, A. Tanaka, and A. Matsumoto, “Development of optical coatings for 157-nm lithography. II. Reflectance, absorption, and scatter measurement,” Appl. Opt. 41, 3248–3255 (2002). 90 Bibliography [21] S. Gliech, J. Steinert, and A. Duparr´e, “Light scattering measurements of optical thin film components at 157 nm and 193 nm,” Appl. Opt. 41, 3224–3234 (2002). [22] N. Kandaka, T. Kobayashi, M. Shiraishi, T. Komiya, T. Oshino, and K. Murakami, “Measurement of EUV scattering from Mo/Si multilayer mirrors,” Tech. Rep. Proposal No. 2003C002, KEK, Japan (2003). [23] W. L. Wolfe, Introduction to Radiometry (SPIE Optical Engineering Press, Bellingham, Wash., 1998), chap. 4. [24] ISO 13696:2002, “Optics and optical instruments -Test methods for radiation scattered by optical components,” (2002). [25] ASTM F 1048-87, “Standard test method for measuring the effective surface roughness of optical components by total integrated scattering,” (1987). [26] A. Duparr´e, “Light scattering of thin dielectric films,” in Thin Films for Optical Coatings, R.E. Hummel and K.H. Guenther, eds., R. E. Hummel and K. H. Guenther, eds. (CRC, Boca Raton, Fla., 1995), pp. 273–304. [27] J. C. Stover, Optical scattering: measurement and analysis (2nd ed.), Optical and Electro- Optical Engineering Series (McGraw-Hill, Inc., 1990). [28] SEMI ME1392-0305, “Guide for Angle Resolved Optical Scatter Measurements on Specular or Diffuse Surfaces,” (2005). [29] W. L. Wolfe, Introduction to Radiometry (SPIE Optical Engineering Press, Bellingham, Wash., 1998), chap. 13. [30] J. M. Bennett and L. Mattsson, Introduction to Surface Roughness and Scattering (Optical Society of America, Washington, DC., 1989). [31] D. J. Whitehouse, Handbook of Surface Metrology (Institute of Physics Publishing, Bristol, 1994). [32] A. Duparr´e, J. Ferr´e-Borrull, S. Gliech, G. Notni, J. Steinert, and J. M. Bennett, “Surface characterization techniques for determining rms roughness and power spectral densities of optical components,” Appl. Opt. 41, 154–171 (2002). [33] E. L. Church, H. A. Jenkinson, and J. M. Zavada, “Relationship Between Surface Scattering and Microtopographic Features,” Opt. Eng. 18, 125–136 (1979). [34] J. C. Stover, Optical scattering: measurement and analysis (2nd ed.), Optical and Electro- Optical Engineering Series (McGraw-Hill, Inc., 1990), chap. 2. [35] D. J. Whitehouse, Handbook of Surface Metrology (Institute of Physics Publishing, Bristol, 1994), chap. 3.4. [36] M. Born and E. Wolf, Principles of Optics (7th ed.) (Cambridge University Press, Cambridge, 1999), chap. 1. [37] P. H. Berning, “Theory and Calculations of Optical Thin Films,” in Physics of Thin Films - Advances in Research and Development, G. Hass, ed. (Academic, New York, 1963), Vol. 1. [38] H. A. Macleod, Thin-film optical filters, 3rd ed. (Inst. of Physics Publishing, London, 2001), chap. 2. [39] D. L. Windt, “IMD -Software for modelling the optical properties of multilayer films,” Computers in Physics 12, 360–370 (1998). [40] B. L. Henke, E. M. Gullikson, and J. C. Davis, “X-ray interactions: photoabsorption, scattering, transmission, and reflection at E=50-30000 eV, Z=1-92,” Atomic Data and Nuclear Data Tables 54, 181–342 (1993), available online at: http : //henke.lbl.gov/optical- constants/. [41] E. D. Palik, Handbook of Optical Constants of Solids (Academic Press, Inc., 1985). [42] C. K. Carniglia, “Scalar Scattering Theory for Multiayer Optical Coatings,” Opt. Eng. 18, 104– 115 (1979). 91 Bibliography [43] A. V. Tikhonravov, M. K. Trubetskov, A. A. Tikhonravov, and A. Duparre’, “Effects of Interface Roughness on the Spectral Properties of Thin Films and Multilayers,” Appl. Opt. 42, 5140–5148 (2003). [44] J. M. Elson, J. P. Rahn, and J. M. Bennett, “Relationship of the total integrated scattering from multilayer-coated optics to angle of incidence, polarization, correlation length, and roughness cross-correlation properties,” Appl. Opt. 22, 3207–3219 (1983). [45] P. Beckmann and A. Spizzichino, The Scattering of Electromagnetic Waves from Rough Surfaces (Pergamon, New York, 1963). [46] L. Nevot and P. Croce, “Caracterisation des surface par reflexion rasante de rayons X. Application a l’etude du polissage de quelques verres silicates,” Revue. Phys. Appl. 15, 761–779 (1980). [47] D. K. G. de Boer, “X-ray reflection and transmission by rough surfaces,” Phys. Rev. B 51, 5297–5305 (1995). [48] J. M. Elson and J. M. Bennett, “Vector Scattering Theory,” Opt. Eng. 18, 116–124 (1979). [49] Lord Rayleigh, “On the dynamical theory of gratings,” Proc. Roy. Soc. A 79, 399–416 (1907). [50] S. O. Rice, “Reflection of electromagnetic waves from slightly rough surfaces,” Commun. Pure. Appl. Math. 4, 351–378 (1951). [51] E. L. Church and J. M. Zavada, “Residual surface roughness of diamond-turned optics,” Appl. Opt. 14, 1788–1795 (1975). [52] P. Bousquet, F. Flory, and P. Roche, “Scattering from multilayer thin films: theory and experiment,” J. Opt. Soc. Am. 71, 1115–1123 (1981). [53] J. C. Stover, Optical scattering: measurement and analysis (2nd ed.), Optical and Electro- Optical Engineering Series (McGraw-Hill, Inc., 1990), chap. 3. [54] J. S. Taylor, G. E. Sommargren, E. Gary, D. W. Sweeney, and R. M. Hudyma, “The fabrication and testing of optics for EUV projection lithography,” Proc. SPIE 3331, 580–590 (1998). [55] J. E. Harvey, A. Krywonos, and C. L. Vernold, “Modified Beckmann-Kirchhoff scattering model for rough surfaces with large incident and scattering angles,” Opt. Eng. 46, 078 002 (2007). [56] J. C. Stover and J. E. Harvey, “Limitations of Rayleigh Rice Perturbation Theory for describing surface scatter,” Proc. SPIE 6672 (in print, 2007). [57] J. E. Harvey, A. Krywonos, and J. C. Stover, “Unified scatter model for rough surfaces at large incident and scatter angles,” Proc. SPIE 6672, 66 720C (2007). [58] O. Kienzle, J. Staub, and T. Tschudi, “Light scattering from transparent substrates: theory and experiment,” Phys. Rev. B 50, 1848–1860 (1994). [59] S. Schr¨oder, “Untersuchungen zur Kalibrierung und Messung des Streulichts optischer Komponenten bei 193 nm und 157 nm,” diploma thesis, Friedrich-Schiller-Universit¨at Jena (2004). [60] D. R¨onnow, Elastic light scattering by thin films, spectroscopic measurements and analysis, Ph.D. thesis, Uppsala University (1996). [61] I. V. Kozhevnikov and M. V. Pyatakhin, “Use of DWBA and perturbation theory in X-ray control of the surface roughness,” J. X-Ray Sci. Technol. 8, 253–275 (1998). [62] J. M. Elson, “Infrared light scattering from surfaces covered with multiple dielectric overlayers,” Appl. Opt. 16, 2872–2881 (1977). [63] J. M. Elson, “Diffraction and diffuse scattering from dielectric multilayers,” J. Opt. Soc. Am. 69, 48–54 (1979). [64] C. Amra, “Light scattering from multilayer optics. I Tools of investigation,” J. Opt. Soc. Am. 11, 197–210 (1994). [65] H.-G. Birken, C. Kunz, and R. Wolf, “Angular resolved soft X-ray scattering from optical surfaces,” Physica Scripta 41, 385–389 (1990). [66] programming by Josep Ferr´e-Borrull, formerly Fraunhofer IOF, Jena. 92 Bibliography [67] T. Feigl, Struktur und Eigenschaften von Schichtsystemen f¨ur den EUV-Spektralbereich, Ph.D. thesis, Friedrich-Schiller-Universit¨at Jena (2000). [68] N. Benoit, Radiation stability of EUV multilayer mirrors, Ph.D. thesis, Friedrich-Schiller- Universit¨at Jena (2007). [69] P. Bussemer, K. Hehl, and S. Kassam, “Theory of light scattering from rough surfaces and interfaces and from volume inhomogeneities in an optical layer stack,” Waves in Random and Complex Media 1, 207–221 (1991). [70] S. Kassam, A. Duparr´e, K. Hehl, P. Bussemer, and J. Neubert, “Light scattering from the volume of optical thin films: theory and experiment,” Appl. Opt. 31, 1304–1313 (1992). [71] S. Kassam, I. J. Hodgkinson, Q. Wu, and S. C. Cloughley, “Light scattering from thin films with an oblique columnar structure and with granular inclusions,” J. Opt. Soc. Am. 12, 1–13 (1995). [72] S. Jakobs, Grenzfl¨ur den ultraachenrauheit und Lichtstreuung von Interferenzschichtsystemen f¨ violetten Spektralbereich, Ph.D. thesis, Friedrich-Schiller-Universit¨at Jena (1999). [73] S. K. Sinha, E. B. Sirota, and S. Garoff, “X-ray and neutron scattering from rough surfaces,” Phys. Rev. B 38, 2297–2311 (1988). [74] V. Hol´y, J. Kubena, I. Ohlidal, K. Lischka, and W. Plotz, “X-ray reflection from rough layered systems,” Phys. Rev. B 47, 15 896–15 903 (1993). [75] V. Hol´y and T. Baumbach, “Nonspecular x-ray reflection from rough multilayers,” Phys. Rev. B 49, 10 668 – 10 676 (1994). [76] T. Herffurth, “Untersuchungen zur Reflexion und Streuung von EUV-Komponenten,” diploma thesis, Friedrich-Schiller-Universit¨at Jena (2008). [77] E. L. Church and P. Z. Takacs, “Optimal estimation of finish parameters,” Proc. SPIE 1530, 71–85 (1991). [78] E. L. Church, “Fractal surface finish,” Appl. Opt. 27, 1518–1526 (1988). [79] J. E. Harvey, Light-Scattering Characteristics of Optical surfaces, Ph.D. thesis, University of Arizona (1976). [80] H. A. Macleod, “Structure-related optical properties of thin films,” J. Vac. Sci. Technol. A 4, 418–421 (1986). [81] H. A. Macleod, Thin-film optical filters, 3rd ed. (Inst. of Physics Publishing, London, 2001). [82] B. A. Movchan and A. V. Demchishin, “Investigations of the structure and properties of thick Ni, Ti, W, Al2O3 and ZrO2 vacuum condensates,” Phys. Met. Metallogr. USSR 28, 83 (1969). [83] J. A. Thornton, “Structure and topography of sputtered coatings,” Annu. Rev. Mater. Sci. 7, 239–260 (1977). [84] N. Kaiser, “Review of the Fundamentals of Thin-Film Growth,” Appl. Opt. 41, 3053–3060 (2002). [85] R. Messier, “Toward quantification of thin film morphology,” J. Vac. Sci. Technol. A 4, 490–495 (1986). [86] J. Ferr´e-Borrull, A. Duparr´e, and E. Quesnel, “Procedure to Characterize Microroughness of Optical Thin Films: Application to Ion-Beam-Sputtered Vacuum-Ultraviolet Coatings,” Appl. Opt. 40, 2190–2199 (2001). [87] W. M. Tong and R. S. Williams, “Kinetics of Surface Growth: Phenomenology, Scaling, and Mechanisms of Smoothening and Roughening,” Annu. Rev. Phys. Chem. 45, 401–438 (1994). [88] M. Kotrla, “Growth of rough surfaces,” Czech. J. Phys. B 42, 449–477 (1992). [89] J. Krug, “Power laws in surface physics: The deep, the shallow and the useful,” Physica A 340, 647–655 (2004). [90] L. Peverini, E. Ziegler, T. Bigault, and I. Kozhevnikov, “Dynamic scaling of roughness at the early stage of tungsten film growth,” Phys. Rev. B 76, 045 411 (2007). 93 Bibliography [91] A. Duparr´e and H.-G. Walther, “Surface smoothing and roughening by dielectric thin film deposition,” Appl. Opt. 27, 1393–1395 (1988). [92] M. Kardar, G. Parisi, and Y.-C. Zhang, “Dynamic Scaling of Growing Interfaces,” Physical Review Letters 56, 889–892 (1986). [93] D. G. Stearns, “Stochastic model for thin film growth and erosion,” Appl. Phys. Lett. 62, 1745– 1747 (1993). [94] D. A. Pinnow, T. C. Rich, F. W. Ostermayer Jr., and M. DiDomenico Jr., “Fundamental optical attenuation limits in the liquid and glassy state with application to fiber optical waveguide materials,” Appl. Phys. Lett. 22, 527–529 (1973). [95] J. Schroeder, R. Mohr, P. B. Macedo, and C. J. Montrose, “Rayleigh and Brillouin Scattering in K2O-SiO2 Glasses,” J. Am. Ceram. Soc 56, 510–514 (1973). [96] R. Olshansky, “Propagation in glass optical waveguides,” Rev. Mod. Phys. 51, 341–367 (1979). [97] H. C. Van De Hulst, Light Scattering by Small Particles (Wiley and Sons, New York, 1957), chap. 6. [98] J. P. Black and K. C. Hickman, “Bulk scatter measurements in fused silica at two wavelengths -A comparison with Rayleigh scatter theory,” Proc. SPIE 1995, 273–284 (1993). [99] S. Schr¨ oder, S. Gliech, and A. Duparr´e, “Measurement system to determine the total and angle resolved light scattering of optical components in the deep-ultraviolet and vacuum-ultraviolet spectral regions,” Appl. Opt. 44, 6093–6107 (2005). [100] H. J. Tiziani, “Optical methods for precision measurements,” Opti. Quant. Electron. 21, 253–282 (1998). [101] R. Escalona, R. Devillers, G. Tribillon, J. Calatroni, P. Fievet, Y. Roques, and F. Dabosi, “Application of phase shifting interferential microscopy to pitting corrosion studies of ion-implanted stainless steel,” J. Mat. Sci. 28, 999–1006 (1993). [102] D. J. Whitehouse, Handbook of Surface Metrology (Institute of Physics Publishing, Bristol, 1994), chap. 4.8. [103] M. Ritter, T. Dziomba, A. Kranzmann, and L. Koenders, “A landmark-based 3D calibration strategy for SPM,” Meas. Sci. Technol. 18, 404–414 (2007). [104] S. Erlandsen, Y. Chen, C. Frethem, J. Detry, and C. Wells, “High-resolution backscatter electron imaging of colloidal gold in LVSEM,” J. Microscopy 211, 212–218 (2003). [105] V. Damodara Das and P. Jansi Lakshmi, “Electron-beam-induced ”explosive” crystallization of amorphous Se80Te20 alloy thin films and oriented growth of crystallites,” Phys. Rev. B 37, 720–726 (1988). [106] D. K. Bowen and B. K. Tanner, X-ray Metrology in Semiconductor Manufacturing (CRC Taylor and Francis, Boca Raton, 2006), chap. 1. [107] V. E. Asadchikov, A. Duparr´e, S. Jakobs, A. Y. Karabekov, I. V. Kozhevnikov, and Y. S. Krivonosov, “Comparative Study of the Roughness of Optical Surfaces and Thin Films by use of X-Ray Scattering and Atomic Force Microscopy,” Appl. Opt. 38, 684–691 (1999). [108] Igor Kozhevnikov, Lebedev Physical Institute, Moscow, personal correspondence (2007). [109] R. Garc´ia and R. P´erez, “Dynamic atomic force microscopy methods,” Surf. Sci. Rep. 47, 197– 302 (2002). [110] D. J. Whitehouse, Handbook of Surface Metrology (Institute of Physics Publishing, Bristol, 1994), chap. 4.2. [111] J. M. Bennett and J. H. Dancy, “Stylus profiling instrument for measuring statistical properties of smooth optical surfaces,” Appl. Opt. 20, 1785–1802 (1981). [112] M. Flemming, K. Roder, and A. Duparr´e, “Scanning force microscopy for optical surface metrology,” Proc. SPIE 5965, 59 650A–1 – 59 650A–10 (2005). 94 Bibliography [113] M. Flemming and A. Duparr´e, “Scanning force microscopy of coatings and nanostructured surfaces,” in Optical Interference Coatings 2007, Topical Meeting and Tabletop Exhibit, Tucson (2007). [114] M. Flemming, Methoden der Simulation und Charakterisierung von nanostrukturierten ultrahydrophoben Oberfl¨ur optische Anwendungen, Ph.D. thesis, Technische Universit¨ achen f¨at Ilmenau (2007). [115] T. Dziomba, M. Flemming, A. Duparr´e, L. Koenders, and G. Wilkening, “Entwicklung einer Kalibrierrichtlinie f¨ur Rastersondenmikroskope,” Technisches Messen 72, 295–306 (2005). [116] S. Schr¨oder, A. Duparr´e, and A. T¨unnermann, “Roughness Evolution and Scatter Losses of Multilayers for 193 nm Optics,” Appl. Opt. 47, C88–C97 (in print, 2008). [117] S. Schr¨A. e, and A. unnermann, “Bewertung von Nanorauheiten durch oder, Duparr´T¨ Streulichtmessung,” Technisches Messen 73, 35–42 (2006). [118] S. Schr¨oder, S. Gliech, and A. Duparr´e, “Sensitive and flexible light scatter techniques from the VUV to IR regions,” Proc. SPIE 5965, 424–432 (2005). [119] C. Amra, “Light scattering from multilayer optics. II Application to experiment,” J. Opt. Soc. Am. 11, 211–226 (1994). [120] O. Kienzle, J. Staub, and T. Tschudi, “Description of an integrated scatter instrument for measuring scatter losses of ’superpolished’ optical surfaces,” Meas. Sci. Technol. 5, 747–752 (1994). [121] T. A. Germer and C. C. Asmail, “Goniometric optical scatter instrument for out-of-plane ellipsometry measurements,” Rev. Sci. Instrum. 70, 3688–3695 (1999). [122] O. Gilbert, C. Deumi´e, and C. Amra, “Angle-resolved ellipsometry of scattering patterns from arbitrary surfaces and bulks,” Opt. Express 13, 2403–2418 (2005). [123] J. C. Stover, “Light scatter metrology of diamond turned optics,” Proc. SPIE 5878, 214–220 (2005). [124] T. M. Bloomstein, D. E. Hardy, L. Gomez, and M. Rothschild, “Angle-resolved scattering measurements of polished surfaces and optical coatings at 157 nm,” Proc. SPIE 5040, 742–752 (2003). [125] L. Mattsson, J. Ingers, and J. M. Bennett, “Wavelength dependence of angle-resolved scattering in the extreme-ultraviolet-visible region,” Appl. Opt. 33, 3523–3532 (1994). [126] M. P. Newell and R. A. M. Keski-Kuha, “Extreme ultraviolet scatterometer: design and capability,” Appl. Opt. 36, 2897–2904 (1997). [127] M. Wurm, B. Bodermann, and F. Pilarski, “Metrology capabilities and performance of the new DUV scatterometer of the PTB,” Proc. SPIE 6533 (in print 2007). [128] C. Asmail, J. Hsia, A. Parr, and J. Hoeft, “Rayleigh scattering limits for low-level bidirectional reflectance distribution function measurements,” Appl. Opt. 33, 6084–6091 (1994). [129] T. Saito and S. Ito, “Gas contaminant effect in a discharge-excited ArF excimer laser,” Appl. Phys. B: Lasers and Optics 66, 579–583 (1998). [130] D. R¨onnow and A. Roos, “Correction factors for reflectance and transmittance measurements of scattering samples in focusing Coblentz spheres and integrating spheres,” Rev. Sci. Instrum. 66, 2411–2422 (1995). [131] M. Hosoya, N. Sakaya, O. Nozawa, Y. Shiota, S. Shimojima, T. Shoki, T. Watanabe, and H. Kinoshita, “Direct Evaluation of Surface Roughness of Substrate and Interfacial Roughness in Molybdenum/Silicon Multilayers Using Extreme Ultraviolet Reflectometer,” Jap. J. Appl. Phys. 46, 6128–6134 (2007). [132] F. Scholze, T. B¨adlich, ottger, H. Enkisch, C. Laubis, L. van Loyen, F. Macco, and S. Sch¨ “Characterization of the measurement uncertainty of a laboratory EUV reflectometer for large optics,” Meas. Sci. Technol. 18, 126–130 (2007). 95 Bibliography [133] R. Lebert, C. Wies, L. Juschkin, B. Jaegle, M. Meisen, L. Aschke, F. Sobel, H. Seitz, F. Scholze, G. Ulm, K. Walter, W. Neff, K. Bergmann, and W. Biel, “High-throughput EUV reflectometer for EUV mask blanks,” Proc. SPIE 5374, 808–817 (2004). [134] K. Starke, H. Blaschke, L. Jensen, S. Nevas, D. Ristau, R. Lebert, C. Wies, A. Bayer, F. Barkusky, and K. Mann, “Novel Compact Spectrophotometer for EUV-Optics Characterization,” Proc. SPIE 6317, 631 701 (2006). [135] S. Schr¨oder, M. Kamprath, S. Gliech, and A. Duparr´e, “Scatter analysis of optical components from 193 nm to 13.5 nm,” Proc. SPIE 5878, 232–240 (2005). [136] S. Schr¨oder, T. Feigl, A. Duparr´e, and A. T¨unnermann, “EUV reflectance and scattering of Mo/Si multilayers on differently polished substrates,” Opt. Express 15, 13 997–14 012 (2007). [137] M. Born and E. Wolf, Principles of Optics (7th ed.) (Cambridge University Press, Cambridge, 1999), chap. 4. [138] R. Lebert, C. Wies, B. J¨agle, L. Juschkin, U. Bieberle, M. Meisen, W. Neff, K. Bergmann, K. Walter, O. Rosier, M. C. Schuermann, and T. Missalla, “Status of the EUV-Lamp development and demonstration of applications,” Proc. SPIE 5374, 943–953 (2004). [139] N. Benoit, S. Schr¨oder, S. Yulin, T. Feigl, A. Duparr´e, N. Kaiser, and A. T¨unnermann, “EUVinduced oxidation of Mo/Si multilayers,” Appl. Opt. (accepted for publication 2008). [140] E. Spiller, Soft-X-Ray Optics (SPIE Optical Engineering Press, Bellingham, Wash., 1994), chap. 7. [141] G. Schriever, K. Bergmann, and R. Lebert, “Extreme ultraviolet emission of laser-produced plasmas using a cryogenic xenon target,” J. Vac. Sci. Technol. B 17, 2058–2060 (1999). [142] Data provided by Rainer Lebert, AIXUV GmbH, Aachen. [143] R. Korde, C. Prince, D. Cunningham, R. E. Vest, and E. Gullikson, “Present status of radiometric quality silicon photodiodes,” Metrologia 40, S145–S149 (2003). [144] F. Scholze, J. T¨ummler, and G. Ulm, “High-accuracy radiometry in the EUV range at the PTB soft x-ray radiometry beamline,” Metrologia 40, S224–S228 (2003). [145] P. Kadkhoda, A. M¨uller, and D. Ristau, “Total scatter losses of optical components in the DUV/VUV spectral range,” Proc. SPIE 3902, 118–127 (2000). [146] S. Logunov and S. Kuchinsky, “Experimental and theoretical study of bulk light scattering in CaF2 monocrystals,” J. Appl. Phys. 98, 053 501–053 501–7 (2005). [147] J. E. Rudisill, A. Duparr´e, and S. Schr¨oder, “Determination of scattering losses in ArF excimer laser alldielectric mirrors for 193 nm microlithography applications,” Proc. SPIE 5647, 9–22 (2005). [148] S. Schr¨oder, S. Gliech, and A. Duparr´e, “Scattering analysis of optical components in the DUV,” Proc. SPIE 6101, 61 011H (2006). [149] D. G. Stearns and E. M. Gullikson, “Nonspecular scattering from extreme ultraviolet multilayer coatings,” Physica B 283, 84–91 (2000). [150] J. Ebert, H. Pannkorst, H. K¨uster, and H. Welling, “Scatter losses of broadband interference coatings,” Appl. Opt. 18, 818–822 (1979). [151] S. Schr¨oder, H. Uhlig, A. Duparr´e, and N. Kaiser, “Nanostructure and optical properties of fluoride films for high-quality DUV/VUV optical components,” Proc. SPIE 5963, 231–240 (2005). [152] H. A. Macleod, Thin-film optical filters, 3rd ed. (Inst. of Physics Publishing, London, 2001), chap. 5. [153] H. Uhlig, R. Thielsch, J. Heber, and N. Kaiser, “Lanthanide tri-fluorides : a survey of the optical, mechanical and structural properties of thin films with emphasis of their use in the DUV -VUVspectral range,” Proc. SPIE 5963, 199–210 (2005). [154] H. A. Macleod, Thin-film optical filters, 3rd ed. (Inst. of Physics Publishing, London, 2001), chap. 3. 96 Bibliography [155] M. Adamik, G. S´afr´an, P. B. Barna, I. Tomov, U. Kaiser, S. Laux, J. Jinschek, and W. Richter, “Structure Evolution of NdF3 Optical Thin Films,” phys. stat. sol. (a) 175, 637–649 (1999). [156] H. A. Macleod, Thin-film optical filters, 3rd ed. (Inst. of Physics Publishing, London, 2001), chap. 11. [157] S. Laux and W. Richter, “Packing-density calculation of thin fluoride films from infrared transmission spectra,” Appl. Opt. 35, 97–101 (1996). [158] D. R¨onnow, “Interface roughness statistics of thin films from angle-resolved light scattering at three wavelengths,” Opt. Eng. 37, 696–704 (1988). [159] D. Ristau, S. G¨e, E. Masetti, J. Ferr´o,unster, S. Bosch, A. Duparr´e-Borrull, G. Kiriakidis, F. Peir´ E. Quesnel, and A. V. Tikhonravov, “Ultraviolet optical and microstructural properties of MgF2 and LaF3 coatings deposited by ion-beam sputtering and boat and electron-beam evaporation,” Appl. Opt. 41, 3196–3204 (2002). [160] R. Thielsch, J. Heber, H. Uhlig, and N. Kaiser, “Development of mechanical stress in fluoride multi-layers for UV-applications,” Proc. SPIE 5250, 127–136 (2004). [161] M.-C. Liu, C.-C. Lee, M. Kaneko, K. Nakahira, and Y. Takano, “Microstructure-related properties at 193 nm of MgF2 and GdF3 films deposited by a resistive-heating boat,” Appl. Opt. 45, 1368–1374 (2006). [162] J. Wang, R. Maier, P. G. Dewa, H. Schreiber, R. A. Bellman, and D. D. Elli, “Nanoporous structure of a GdF3 thin film evaluated by variable angle spectroscopic ellipsometry,” Appl. Opt. 46, 3221–3226 (2007). [163] L. J. Lingg, Lanthanide trifluoride thin films: structure, composition, and optical properties, Ph.D. thesis, University of Arizona (1990). [164] M. R. Leach, “The Chemical Thesaurus, Meta-Synthesis,” available online at http : //www.chemthes.com/ (2007). [165] Merck KGaA Darmstadt, product information, http://www.merck-chemicals.com/ . [166] K. H. Guenther, “Nodular defects in dielectric multilayers and thick single layers,” Appl. Opt. 20, 1034–1038 (1981). [167] J. F. Anzellotti, D. J. Smith, R. J. Sczupak, and Z. R. Chrzan, “Stress and environmental shift characteristics of HfO2/SiO2 multilayer coatings,” Proc. SPIE 2966, 258–264 (1997). [168] F. Flory and L. Escoubas, “Optical properties of nanostructured thin films,” Progress in Quantum Electronics 28, 89–112 (2004). [169] H. A. Macleod, Thin-film optical filters, 3rd ed. (Inst. of Physics Publishing, London, 2001), chap. 9. [170] H. A. Macleod, Thin-film optical filters, 3rd ed. (Inst. of Physics Publishing, London, 2001), chap. 10. [171] H. Hagedorn, Ionengest¨utztes Aufdampfen von Yttrium-und Ytterbiumfluorid, Ph.D. thesis, Universit¨at Hamburg (1995). [172] K. H. Guenther, “Recent progress in optical coating technology: low voltage ion plating deposition,” Proc. SPIE 1270, 211–221 (1990). [173] J. Kolbe and H. Schink, “Optical losses of dielectric VUV-mirrors deposited by conventional evaporation, IAD, and IBS,” Proc. SPIE 1782, 435–446 (1993). [174] A. Schnellb¨/Yb+ugel, B. Selle, and R. Anton, “Determination of the stoichiometry and the Yb+ 2 3 ratio in YbFx optical IAD films by RBS and in situ XPS analysis,” Microchimica Acta 125, 239–243 (1997). [175] C. M¨uhlig, Zur Absorption gepulster ArF-Laserstrahlung in hochtransparenten optischen Materialien, Ph.D. thesis, Friedrich-Schiller-Universit¨at Jena (2005). [176] W. Triebel, C. M¨uhlig, and S. Kufert, “Application of the laser induced deflection (LID) technique for low absorption measurements in bulk materials and coatings,” Proc. SPIE 5965, 499–508 (2005). 97 Bibliography [177] C. G¨ at 193 and 157 orling, U. Leinhos, and K. Mann, “Surface and bulk absorption in CaF2 nm,” Opt. Communications 249, 319–328 (2005). [178] B. Li, H. Blaschke, and D. Ristau, “Combined laser calorimetry and photothermal technique for absorption measurement of optical coatings,” Appl. Opt. 45, 5827–5831 (2006). [179] U. Natura, C. M¨ orling, U. Leinhos, K. Mann, E. Eva, and A. Pfeiffer, uhlig, W. Triebel, C. G¨ “Characterization of 193 nm light absorption in fused silica and calcium fluoride by various measurement techniques (Round Robin experiments),” in Instruments and Standard Test Procedures for Laser Beam and Optics Characterization: CHOCLAB II (VDI-Technology Center, 2003), pp. 280–294. [180] W. Bardsley and G. W. Green, “Optical scattering in calcium fluoride crystals,” Br. J. Appl. Phys. 16, 911–912 (1965). [181] A. K. Arora, R. Kesavamoorth, and D. Sahoo, “Light scattering by point defects and their complexes in ionic crystals,” J. Phys. C: Solid State Phys. 15, 4591–4598 (1982). [182] A. Burkert, C. M¨ oder, uhlig, W. Triebel, D. Keutel, U. Natura, L. Parthier, S. Gliech, S. Schr¨ and A. Duparr´e, “Investigating the ArF laser stability of CaF2 at elevated fluences,” Proc. SPIE 5878, 125–132 (2005). [183] S. Logunov and S. Kuchinsky, “Scattering losses in fused silica and CaF2 for DUV applications,” Proc. SPIE 5040, 1396–1407 (2003). [184] S. Schr¨ e, A. T¨uhn, and U. Klett, “Bulk scatoder, M. Kamprath, A. Duparr´unnermann, B. K¨ tering properties of synthetic fused silica at 193 nm,” Opt. Express 14, 10 537–10 549 (2006). [185] F. L. Galeener, “Raman and ESR studies of the thermal history of amorphous SiO2,” J. Non- Cryst. Solids 71, 373–386 (1985). [186] J. C. Mikkelsen Jr., F. L. Galeener, and W. J. Mosby, “Raman Characterization of hydroxyl in fused silica and thermal grown SiO2,” J. Electron. Mater. 10 (1981). [187] J. Lorincik and J. Fine, “Focusing properties of hemispherical mirrors for total integrating scattering instruments,” Appl. Opt. 36, 8270–8274 (1997). [188] C. M¨ uhlig, W. Triebel, and S. Kufert, “Coefficients of stationary ArF laser pulse absorption in fused silica (type III),” J. Non-Cryst. Solids 353, 542–545 (2007). [189] C. Levelut, A. Faivre, R. L. Parc, B. Champagnon, J.-L. Hazemann, and J.-P. Simon, “In situ measurements of density fluctuations and compressibility in silica glasses as a function of temperature and thermal history,” Phys. Rev. B 72, 224 201–224 212 (2005). [190] K. Saito, A. J. Ikushima, T. Ito, and A. Itoh, “A new method of developing ultralow-loss glasses,” J. Appl. Phys. 81, 7129–7134 (1997). [191] L. Skuja, M. Hirano, H. Hosono, and K. Kajihara, “Defects in oxide glasses,” phys. stat. sol. (c) 2, 15–24 (2005). [192] D. Sch¨ uhlig, and S. Thomas, “Measurement of initial absorption of fused onfeld, U. Klett, C. M¨ silica at 193 nm laser induced deflection technique (LID),” Proc. SPIE 6720, 67 201A (2007). [193] J. H. Burnett, S. G. Kaplan, E. L. Shirley, D. Horowitz, W. Clauss, A. Grenville, and C. Van Peski, “High-index optical materials for 193nm immersion lithography,” Proc. SPIE 6154, 445– 456 (2006). [194] D. G. Stearns and R. S. Rosen, “Fabrication of high-reflectance Mo-Si multilayer mirrors by planar-magnetron sputtering,” J. Vac. Sci. Technol. 9, 2662–2669 (1991). [195] C. Montcalm, S. Bajt, P. B. Mirkarimi, E. Spiller, F. J. Weber, and J. A. Folta, “Multilayer reflective coatings for extreme ultraviolet lithography,” Proc. SPIE 3331, 42–51 (1998). [196] S. Bajt, J. B. Alameda, J. T. W. Barbee, W. M. Clift, J. A. Folta, B. B. Kaufmann, and E. A. Spiller, “Improved reflectance and stability of Mo/Si multilayers,” Opt. Eng. 41, 1797–1804 (2002). [197] T. Feigl, J. Heber, A. Gatto, and N. Kaiser, “Optics developments in the VUV-soft X-ray spectral region,” Physics Research A 483, 351–356 (2002). 98 Bibliography [198] R. J. Anderson, D. A. Buchenauer, K. A. Williams, W. M. Clift, L. E. Klebanoff, N. V. Edwards, O. R. Wood II, and S. Wurm, “Investigation of plasma-induced erosion of multilayer condenser optics,” Proc. SPIE 5751, 128–139 (2005). [199] J. C. Stover, K. A. Klicker, D. R. Cheever, and F. M. Cady, “Reduction of instrument signature in near angle scatter measurements,” Proc. SPIE 749, 46–53 (1987). [200] A. Duparr´e, “Light Scattering techniques for the inspection of microcomponents and microstructures,” in Optical Methods for the Inspection of Microsystems, W. Osten, ed. (Taylor & Francis, 2006), pp. 103–119. [201] E. L. Church, H. A. Jenkinson, and J. M. Zavada,“Measurment of the Finish of Diamond-Turned Metal Surfaces By Differential Light Scattering,” Opt. Eng. 16, 360–374 (1977). [202] I. V. Kozhevnikov, V. E. Asadchikov, I. N. Bukreeva, A. Duparr´e, Y. S. Krivonosov, T. H. Metzger, C. Morawe, M. V. Phyatakhin, J. Steinert, and E. Ziegler, “X-ray and AFM study of the roughness of growing W and B4C films, and W/B4C multilayer mirrors,” in Physics of x-ray multilayer structures (2002). [203] E. L. Church, “Statistical effects in the measurement and characterization of smooth scattering surfaces,” Proc. SPIE 511, 18 (1984). [204] E. Spiller, Soft-X-Ray Optics (SPIE Optical Engineering Press, Bellingham, Wash., 1994), chap. 10. [205] M. Zerrad, C. Deumi´e, M. Lequime, C. Amra, and M. Ewart, “Light-scattering characterization of transparent substrates,” Appl. Opt. 45, 1402–1409 (2006). [206] S. Braun, Gef¨achenbeschaffenheit Mo/Si-Multischichten, synthetisiert mit-uge-und Grenzfl¨ tels Puls-Laser-und Magnetron-Sputter-Deposition -Spiegel f¨ ur extrem ultraviolette Strahlung-, Ph.D. thesis, Universit¨ at Bielefeld (2004). [207] S. Bajt, D. G. Stearns, and P. A. Kearney, “Investigation of the amorphous-to-crystalline transition in Mo/Si multilayers,” J. Appl. Phys. 90, 1017–1025 (2001). [208] D. G. Stearns, R. S. Rosen, and S. P. Vernon, “Multilayer mirror technology for soft-x-ray projection lithography,” Appl. Opt. 32, 6952–6960 (1993). [209] S. Braun, T. Foltyn, H. Mai, M. Moss, and A. Leson, “Grenzfl¨achen-optimierte Mo/Si Multischichten als Reflektoren f¨ ur den EUV Spektralbereich,” Vakuum in Forschung und Praxis 15, 76–81 (2003). [210] E. Spiller, S. L. Baker, P. B. Mirkarimi, V. Sperry, E. M. Gullikson, and D. G. Stearns, “High- Performance Mo-Si Multilayer Coatings for Extreme-Ultraviolet Lithography by Ion-Beam Deposition,” Appl. Opt. 42, 4049–4058 (2003). [211] P. Weissbrodt, L. Raupach, and E. Hacker, “Improved method for contamination control during fabrication of space equipment,” Proc. SPIE 2210, 672–680 (1994). 99 Acknowledgements I am deeply indebted to a number of people without whom this work would not have been possible. I would like to express my most sincere gratitude to my supervisor, Prof. Dr. Andreas T¨His con unnermann, for his encouragement and support at all stages of my work. structive criticism and comments from the initial conception to the end of this thesis is highly appreciated. I am especially indebted to Dr. Angela Duparr´e (Fraunhofer Institute for Applied Optics and Precision Engineering (IOF), Jena) for her inspiration, guidance, and support from the very beginning of my work. Her fine balance between giving me the freedom to pursue what fascinated me and demanding a high quality of work greatly influenced my work, and the interesting and enlightening discussions in particular regarding the structure and scattering of thin film coatings had a great impact on this thesis. I would also like to express my gratitude to Dr. Gunther Notni for his continuous support. Special thanks and my deepest respect go to Dr. Stefan Gliech (IOF) who taught me the art of measuring light scattering. Dr. Marcel Flemming (IOF) gave me the pleasure to participate from his impressive knowledge regarding AFM measurements even on the most delicate samples, and Luisa Coriand and Carolin Voigt (IOF) supported me during numerous measurements. Moreover, I would like to thank all my colleagues at the Fraunhofer IOF. Many of them, in particular at the Optical Systems department, the Optical Coatings department, and the Precision Mechanical Workshop somehow contributed to this work, and I am very grateful for the kind support, the professional work, and the amicable atmosphere. My deep gratitude in particular goes to Mathias Kamprath, Tobias Herffurth, and Marcus Trost, undergraduate students at that time, for their valuable assistance during the past years. I am deeply indebted to all who designed, manufactured, and provided samples for the investigations presented in this thesis and for the enlightening discussions: Hein Uhlig, Dieter G¨ abler, Hanno Heiße (IOF), and Earl Rudisill (Newport Corp., Irvine (CA)) provided a lot of interesting DUV coatings. Bodo K¨ uhn and Dr. Ursula Klett (Heraeus Quarzglas, Hanau) generously prepared and provided both non-standard and high-end synthetic fused silica materials as well as additional information about the samples such as Raman spectroscopy data. Dr. Torsten Feigl, Dr. Sergiy Yulin, Dr. Nicolas Benoit, and Marco Perske (IOF) provided high-quality EUV coatings both for the EUV measurement system and for investigations. I would also like to express my gratitude to those who provided important additional measurement data or supported me during such measurements: Dr. uh- Christian M¨ lig (Institute of Photonic Technology (IPHT), Jena) contributed sensitive absorption measurements. Detlef Schelle (Institute of Applied Physics (IAP) of the Friedrich- Schiller University Jena) performed impressive SEM measurements in nearly no time. Dr. Stefan Braun (Fraunhofer IWS, Dresden) kindly provided a TEM image of one of his research samples for illustration purposes. Special thanks go to Dr. John Stover (The Scatter Works, Inc., Tucson, Arizona) for inspiring my way of seeing scattered light and for his kind support. I would also like to thank Dr. Igor Kozhevnikov (Institute of Crystallography, Moscow) and Prof. James Harvey (Center for Research and Education in Optics and Lasers (CREOL) at the University of Central Florida) for answering also the most tedious questions regarding scattering theories and the encouraging discussions about recent developments. I am very grateful to Dr. Nicolas Benoit and Dr. Christian M¨ uhlig for many detailed and enlightening discussions about critical issues for EUV and DUV optics, respectively. I wish to express my special thanks also to Dr. Marcel Flemming and Robert Leitel for the countless interesting and enjoyable discussions as well as for their kind and prompt support. I would also like to thank the Deutsche Forschungsgemeinschaft for funding my studies during the past years. Last but not least, I am much obliged to my family. My parents supported my interest in natural sciences since I was little, shaped my character to question things, and inspired my way of working. I am deeply grateful to my wife Jana for her nearly endless patience and understanding throughout the past years and for managing all the things I neglected. I am also most thankful to our wonderful children Sophia and Stella for the joy they bring to my life. Every smile you put on my face gave me new strength for this work. Kurzfassung Die aktuellen Entwicklungen auf dem Gebiet der Halbleiterlithographie ziehen enorme Herausforderungen an optische Komponenten f¨ ur 193 nm und 13,5 nm nach sich. Insbesondere Streulicht an optischen Oberfl¨ achen, Schichtsystemen und in Bulkmaterialien stellt einen kritischen Faktor dar. Hauptgegenstand dieser Arbeit war die Entwicklung eines Messinstrumentariums, das sowohl effektive Systeme zur sensitiven Streulichtmessung bei 193 nm und 13,5 nm als auch deren Verkn¨ upfung mit theoretischen Analysewerkzeugen umfasste, um die relevanten Streulichtmechanismen bei beiden Wellenl¨ angen zu untersuchen. Ein System zur Messung des totalen Streulichts (TS) und des winkelaufgel¨ osten Streulichts (ARS) bei 193 nm wird beschrieben. Das TS-System weist eine Sensitivit¨ at von besser als 10-6 auf. erm¨ Das ARS-System oglicht Messungen bei beliebigen Einfalls-und Streuwinkeln mit einem Dynamikbereich von mehr als 12 Gr¨ur Un oßenordnungen. F¨ tersuchungen bei 13,5 nm wurde ein System f¨oste Streulicht-und Re- ur winkelaufgel¨ flexionsmessungen mit einem Dynamikbereich von 6 Gr¨ oßenordnungen entwickelt. Es konnte gezeigt werden, dass existierende Theorien zur Beschreibung von rauheitsinduziertem Streulicht im sichtbaren und im R¨ultig bei 193 nm ontgen-Spektralbereich g¨ und 13,5 nm sind und Grenzfl¨ achenrauheit die wesentliche Streulichtursache sowohl bei DUV-als auch bei EUV-Schichtsystemen ist. Eine neuartige Methode zur Charakterisierung von Vielschichtsystemen durch Kombination von Streulicht-und Rauheitsmessungen wurde vorgestellt. Dabei werden die relevanten strukturellen Eigenschaften durch zwei anschauliche Parameter beschrieben: Der Skalierungsexponent ß beschreibt die Rauheitsentwicklung im Schichtsystem und d beschreibt Schichtdickenabweichungen. Fluoridische HR-Schichten f¨ ur Anwendungen bei 193 nm wiesen totale Streuverluste zwischen 0, 9% und 2, 8%, abh¨ angig von den Abscheidungsbedingungen und Schichtmaterialien, auf, w¨ur unbeschichtete Substrate TS-Werte von lediglich 1, 2 × 10-5 ahrend f¨ beobachtet wurden. Die drastisch erh¨ ohte Streuung der Beschichtungen konnte durch erh¨achenrauheit, erh¨ ohte Grenzfl¨ohten Reflexionsgrad und starke Korrelation unter¨ schiedlicher Grenzfl¨art werden. achen erkl¨Uberdies wurde ein kritischer Einfluss selbst kleiner Schichtdickenabweichungen festgestellt. Intrinsische Schichtrauheit infolge kolumnaren Wachstums stellte sich als dominierender Faktor f¨uber ist das Streulicht na- ur die Streulichteigenschaften heraus. Demgegen¨ hezu unabh¨ angig von der Substratrauheit. Allerdings wurde eine teilweise drastische Streulichterh¨ ohung beobachtet, die durch Schichtdickenabweichungen infolge Substrat- abh¨at erkl¨ angigen Schichtwachstums und Porosit¨art werden konnte. Die Untersuchung der Volumenstreuung von synthetischem Quarzglas bei 193 nm erforderte die Entwicklung geeigneter Methoden zur Trennung von Oberfl¨ achen-und Volumeneffekten. Volumenstreukoeffizienten a zwischen 0,6x10-3 cm-1 und 1,7x10-3 cm-1 , abh¨ angig vom Reinheitsgrad und der thermischen Vorgeschichte der Materialien, wurden ermittelt. Als wesentlicher Streulichtmechanismus konnte Rayleighstreuung an Dichtefluktuationen in der amorphen Struktur nachgewiesen werden. Neben der Untersuchung von optischen Komponenten f¨ ur DUV-Anwendungen wurde demonstriert, dass sich Streulichtmessungen bei 193 nm hervorragend zur Rauheitsmessung von EUV-Spiegeln eignen. Rauheitskomponenten die bei 193 nm Streulicht in gr¨ur Nahwinkelstreuung bei 13,5 nm oßere Winkel verursachen sind gleichzeitig auch f¨ verantwortlich, die besonders schwierig zu messen ist. Daher konnte die Rauheit und Homogenit¨ at von Mo/Si-Schichten bei 193 nm untersucht und die Ergebnisse direkt mit den Nahwinkelstreueigenschaften bei 13,5 nm korreliert werden. Untersuchungen an Mo/Si-Spiegeln bei 13,5 nm zeigten, dass im Gegensatz zum Streulicht bei DUV-Schichten, Streulicht von EUV-Schichten vor allem durch replizierte Substratrauheit hervorgerufen wird. Erst bei gr¨war oßeren Streuwinkeln (. 10) ein zunehmender Einfluss von intrinsischer Schichtrauheit zu beobachten. Signifikante Streuverluste zwischen 0, 8% und 3, 9% wurden selbst bei Verwendung superpolierter Substrate festgestellt. Ein intrinsischer Streuverlust der untersuchten Schichten bei Abscheidung auf ein ideal glattes Substrat von 0.7% wurde vorhergesagt. Der kombinierte Einsatz von winkelaufgel¨ osten Reflexions-und Streulichtmessungen bei 13,5 nm erm¨ oglichte die Untersuchung der bestrahlungsinduzierten Degradation von EUV-Spiegeln. F¨ ur Mo/Si-Schichtsysteme konnte sowohl die Reduzierung des Reflexionsgrads als auch deren Ursache, Oxidation der oberen Schichten, direkt bei der Anwendungswellenl ¨ ange untersucht werden. Obwohl eine drastische Zunahme der Rauheit nach Bestrahlung durch Streulichtmessungen bei schr¨ agem Einfall nachgewiesen wurde, nahm der Streuverlust bei quasi-normaler Beleuchtung von 0, 9% auf 0, 5% ab. Dies belegt, dass die Degradation auf die oberen Schichten beschr¨ ankt ist und nicht zur Verschlechterung der Streulichteigenschaften f¨ uhrt. Abstract The recent developments in semiconductor lithography place challenging demands on optical components for 193 nm and 13.5 nm. In particular light scattering from optical surfaces, thin film coatings, and bulk materials becomes crucially important. This thesis concentrates on the development of a complete methodology, comprising effective instruments for sensitive scattering measurements at 193 nm and 13.5 nm as well as the link to theoretical modeling and analysis tools in order to investigate the relevant scattering mechanisms at both wavelengths. A system for total scattering (TS) and angle resolved scattering (ARS) measurements at 193 nm is described. The TS set-up exhibits a sensitivity of better than 10-6 . The set-up for ARS enables measurements at arbitrary angles of incidence and scattering with a dynamic range of 12 orders of magnitude. For investigations at 13.5 nm, an instrument for angle resolved scatter and reflectance measurements has been developed. The dynamic range exceeds 6 orders of magnitude. Existing theories for roughness-induced scattering in the visible and X-ray spectral ranges proved to be valid at both 193 nm and 13.5 nm, and interface roughness was found to be the main source of scattering for both DUV and EUV coatings. A novel procedure for the characterization of multilayer coatings which combines scattering and roughness measurement and modeling was presented. The relevant structural properties are expressed by two descriptive parameters. The scaling exponent ß describes the roughness evolution in the multilayer, and d describes optical layer thickness deviations. Fluoride HR coatings for 193 nm applications exhibited total backscattering between 0.9% and 2.8% depending on the deposition conditions and coating materials, while TSb values of as low as 1.2 × 10-5 were observed for uncoated substrates. The drastically increased scattering of the coatings was attributed to increased interface roughness, increased reflectance, and strong correlation of different interfaces. In addition, even small deviations in optical layer thickness were determined to be crucial. Intrinsic thin film roughness resulting from columnar growth was identified as the dominating factor for the scattering properties. In contrast, scattering is nearly independent of substrate roughness. On the other hand, drastically increased scattering was observed which could be explained by optical layer thickness deviations caused by substrate-dependent growth properties and porosities. For the investigation of the bulk scattering from synthetic fused silica at 193 nm, methods had to be developed to separate surface from bulk effects. Bulk scattering co -1 -1 efficients a between 0.6x10-3 cmand 1.7x10-3 cmdepending on the purity and thermal history of the materials were observed. The relevant scattering mechanism was found to be Rayleigh scattering from density fluctuations in the amorphous structure. In addition to the investigation of optical components for DUV applications, scatter measurements at 193 nm were demonstrated to be well-suited for surface roughness measurements of EUV mirrors. Roughness associated with high-angle scattering at 193 nm also causes near-angle scattering at 13.5 nm, which is more difficult to measure. This enabled rapid investigations of the roughness and homogeneity of Mo/Si coatings at 193 nm with a direct link to their near-angle scattering properties at 13.5 nm. Investigations of Mo/Si mirrors at 13.5 nm revealed that, unlike the scattering of DUV coatings, the scattering of EUV coatings is dominated by replicated substrate roughness. Only at larger scatter angles (. 10), an increasing influence of intrinsic thin film roughness was observed. Considerable scatter losses between 0.8% and 3.9% occurred even if superpolished substrates were used. The intrinsic scatter loss of the investigated coatings if deposited onto an ideally smooth substrate was predicted to be 0.7%. A combination of reflectance and scatter measurements at 13.5 nm enabled the investigation of the radiation-induced degradation of EUV mirrors. For Mo/Si coatings, the reduction of reflectance as well as the origin of degradation -oxidation of the toplayers -were analyzed directly at the wavelength of application. Although a drastic increase of surface roughness during irradiation was detected via scatter measurement at near-grazing incidence, scatter losses at quasi-normal incidence decreased from 0.9% to 0.5%. This indicates that the degradation is confined to the uppermost interfaces and does not deteriorate the scattering properties. Ehrenw¨ arung ortliche Erkl¨ Ich erkl¨hiermit ehrenw¨ andig, ohne are ortlich, dass ich die vorliegende Arbeit selbst¨ unzul¨ assige Hilfe Dritter und ohne Benutzung anderer als der angegebenen Hilfsmittel und Literatur angefertigt habe. Die aus anderen Quellen direkt oder indirekt uber ¨ nommenen Daten und Konzepte sind unter Angabe der Quelle gekennzeichnet. Bei der Auswahl und Auswertung folgenden Materials haben mir die nachstehend aufgef ¨ uhrten Personen in der jeweils beschriebenen Weise entgeltlich/unentgeltlich geholfen: 1. Hein Uhlig, Hanno Heiße, Dr. Torsten Feigl, Dr. Sergiy Yulin, Marco Perske (Fraunhofer IOF, Jena), Earl Rudisill (Newport Corp., Irvine (CA)): Herstellung von DUV-und EUV-Schichtsystemen 2. Bodo K¨ uhn (Heraeus Quarzglas, Hanau): Herstellung von fused silica Proben und Ramanspektroskopie 3. Dr. Marcel Flemming: Unterst¨ utzung bei AFM-Messungen 4. Dr. Christian M¨ uhlig (IPHT Jena): Absorptionsmessungen 5. Dr. Nicolas Benoit (Fraunhofer IOF, Jena): Bestrahlung von EUV-Spiegeln 6. Dr. Christian Laubis (PTB, Berlin): EUV-Spektralphotometrie 7. Detlef Schelle (FSU IAP, Jena): SEM-Messungen Weitere Personen waren an der inhaltlich-materiellen Erstellung der vorliegenden Arbeit nicht beteiligt. Insbesondere habe ich hierf¨nicht die entgeltliche Hilfe ur von Vermittlungs-bzw. Beratungsdiensten (Promotionsberater oder andere Personen) in Anspruch genommen. Niemand hat von mir unmittelbar oder mittelbar geldwerte Leistungen f¨ ur Arbeiten erhalten, die im Zusammenhang mit der vorgelegten Dissertation stehen. Die Arbeit wurde bisher weder im In-noch im Ausland in gleicher oder ¨ ahnlicher Form einer anderen Pr¨orde vorgelegt. ufungsbeh¨ Die geltende Promotionsordnung der Physikalisch-Astronomischen Fakult¨ist mir at bekannt. Ich versichere ehrenw¨ ortlich, dass ich nach bestem Wissen und Gewissen die reine Wahrheit gesagt und nichts verschwiegen habe. Jena, 25. M¨arz 2008 Sven Schr¨ oder Lebenslauf Pers¨onliche Daten Name: Sven Schr¨oder Geburtsdatum: 30. Juli 1975 Geburtsort: Erfurt Ausbildung 1982-1991 Polytechnische Oberschule “Wilhem Pieck”, Erfurt 1991-2004 1. Staatliche Integrierte Gesamtschule, Erfurt 29. Juni 1994 Abitur 1994-1998 Wehrdienst, Fernmelderegiment 320, Frankenberg/Eder 1998-2004 Studium der Physik an der Friedrich-Schiller-Universit¨at (FSU), Jena 17. M¨arz 2004 Physik-Diplom 2001-2004 wissenschaftliche Hilfskraft am Fraunhofer Institut Angewandte Optik und Feinmechanik (IOF), Jena 2004Doktorand Institut f¨ur Angewandte Physik der FSU / Fraunhofer IOF